合成済みデザインおよびインプリメント済みデザイン - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2022-05-04
Version
2022.1 日本語
  • 合成済みネットリストに関する DRC が実行されます。
  • I/O、BUFG、およびその他の配置がチェックされます。
  • MGT、IODELAY などのプリミティブの配線に関する属性の基本的なチェックが実行されます。
  • 同じ DRC が使用可能な配置配線を考慮して実行されます。
  • DRC では、情報、警告、クリティカル警告、およびエラーの 4 つの重要度が使用されます。この時点では、クリティカル警告およびエラーでデザイン フローが停止することはありません。

インプリメンテーションの段階でも DRC が実行されますが、クリティカルなポイントでフローが停止することがあります。配置配線で配置の妨げとなる問題がチェックされます。特定のメッセージの重要度がフローの段階によって低い場合があります。重要度が低い場合、opt_designplace_design route_design が停止することはありませんが、ボード上で問題が発生する可能性があります。

たとえば、ユーザーによりすべてのデザイン ポートのパッケージ ピン ロケーションおよび I/O 規格が手動で指定されているかをチェックする DRC があります。不足している制約がある場合、place_design および route_design ではクリティカル警告が表示されますが、これらの DRC 違反は write_bitstream ではエラーとなります。これらの制約がない状態ではデバイスはプログラムできません。

フローの初期段階で重要度を低くしているのは、最終的なピン配置が決定される前にデザインをインプリメンテーションまで実行できるようにするためです。包括的な DRC サインオフを実行するには、ビットストリームを生成する必要があります。

次の図に、DRC レポートを表示する Vivado IDE の [DRC] ウィンドウを示します。

図 1. DRC レポート

DRC をクリックすると、[Violation Properties] ウィンドウにメッセージの詳細が表示されます。[Detailed] ビューをクリックして詳細を確認してください。多くのメッセージには、ネット、セル、およびポートへのハイパーリンクが含まれています。

図 2. [Violation Properties] ダイアログ ボックス

DRC レポートは、デザインを変更したときにダイナミックに変化しません。デザインを変更したら、[Rerpot DRC] を再実行する必要があります。オブジェクトを削除または移動した場合などの操作によりリンクが無効になる場合、ツールでそれが判断されリンクが使用できなくなります。

リンクをクリックするとオブジェクトが選択されますが、[Properties] ウィンドウは更新されません。オブジェクトのプロパティを表示するには、オブジェクトの選択を一度解除してから選択し直してください。

Tcl で DRC レポートを作成するには、report_drc コマンドを実行します。

結果をファイルに記述するには、report_drc -file myDRCs.txt コマンドを実行します。

ヒント: report_drc コマンドの詳細は、「report_drc -help」と入力すると表示されます。