無視されたオブジェクトのレポート - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2022-05-04
Version
2022.1 日本語

[Report Exception] コマンドでは、各タイミング例外制約の無効な始点と終点のリストが生成されます。タイミング パスは無効な始点および終点から開始したり終了したりしないので、Vivado で無視されます。無視されたピンは、report_exceptions -ignored_objects でレポートできます。

注記: 最大遅延または最小遅延制約の付いた無効な始点と終点は無視されませんが、パスが分割されます。
注記: POWER または GROUND に接続された始点または終点は、無視されたオブジェクトのリストにレポートされます。

説明のため、小さなデザイン例に次のタイミング制約を設定します。

create_clock -period 10.000 -name clk [get_ports clk]
set_false_path -from [get_cells int10_reg] -to [get_cells int20_reg]
set_false_path -from [get_pins int11_reg/*] -to [get_pins int21_reg/*]
注記: 2 つ目のフォルス パス制約が入力されると、無効な始点と終点があるので、Vivado ツールで次の警告メッセージが表示されます。

WARNING: [Constraints 18-402] set_false_path: 'int11_reg/CE' is not a valid startpoint.

回避策: 有効な始点は、メインまたは生成されたクロック ピンまたはポート、シーケンシャル セルのクロック ピン、プライマリ入力または出力ポートのいずれかになります。クエリで戻されるオブジェクトすべてがこのリストに含まれているようにしてください。

  • 最初の set_false_path 制約では get_cells コマンドが使用されます。Vivado ツールでは有効な始点または終点ピンのみを使用して get_cells で取得したセルがピンに変換され、制約が有効なオブジェクトにのみ適用されるようになります。
  • 2 つ目の set_false_path 制約では get_pins コマンドが使用され、-from および -to のすべてのレジスタ ピンが強制的に使用されます。これにより、-from-to の両方に対して無効なピンが複数できます。

次の図に、report_exceptions -ignored_objects のレポートを示します。

図 1. 無視されたオブジェクト