無視されるタイミング例外のレポート - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2022-05-04
Version
2022.1 日本語
[Report Exception] コマンドの別の動作モードは次のとおりです。
report_exceptions -ignored

これを示すため、次のタイミング例外を前の例外の上に追加してみます。

set_max_delay 5 -to [get_ports out5]
set_multicycle_path 1 -hold -to [get_cell int21_reg]
set_multicycle_path 2 -setup -to [get_ports out6]
set_false_path -from [get_cell int11_reg] -to [get_cell int20_reg]

これらすべての例外は、前のセクションからのタイミング例外が既に適用されているか、存在しないパスをターゲットにしています (レジスタ int11_regint20_reg の間には物理的な接続なし)。

これらの 4 つの制約を追加すると、Timing Constraints ウィンドウは次の図のようになります。

図 1. [Timing Constraints] ウィンドウ

Exceptions Report (report_exceptions -ignored) は次の図のようになります。

図 2. Exceptions Report

注記: Status 列に、タイミング例外が無視された理由が説明されます。