除外設定のエクスポート - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2022-05-04
Version
2022.1 日本語

除外設定は、デザイン制約の一部としてチェックポイント内に自動的に保存され、そのチェックポイントから復元されるようになります。除外設定は、シンプルな XDC およびバイナリ制約の両方に保存されます。

write_xdc および write_waivers コマンドを使用すると、除外設定をスタンドアロン XDC ファイルとしてエクスポートできます。XDC は、Vivado ツール内で read_xdc または source コマンドを使用すると読み込むことができます。

write_xdc コマンドでは、XDC ファイル内の除外設定すべてとデザイン制約すべてがエクスポートされます。これには、ユーザーの定義した除外設定とザイリンクス IP 除外設定が含まれます。XDC 内の制約は、デザインに適用された順番で記述されます。除外設定のみをエクスポートするには、-type waiver コマンド ライン オプションを使用します。次に例を示します。

write_xdc -type waiver -file waivers.xdc
重要: IP 除外設定は、create_waiver -internal オプションで識別できます。ユーザー除外設定には、create_waiver -internal オプションは使用できません。このオプションは、ザイリンクス IP 除外設定に対してのみ予約されています。

write_waivers コマンドは、ユーザー除外設定のみをエクスポートし、より詳細な制御と粒度がある点が write_xdc とは異なります。ザイリンクス IP 除外設定は write_waivers ではエクスポートされません。デフォルトでは、すべてのユーザー CDC、DRC、Methodology 除外設定がエクスポートされます。-type オプションを使用すると、CDC、DRC、または Methodology 除外設定のみがエクスポートできます。

たとえば、次のコマンドでは、すべての CDC 除外設定が waivers_cdc.xdc ファイルにエクスポートされます。

write_waivers -type CDC -file waivers_cdc.xdc

特定のチェック ID の除外設定をすべてエクスポートするには、-id オプションを使用します。次の例の場合、Methodology チェックの TIMING-15 に対する除外設定がすべてエクスポートされます。

write_waivers -id TIMING-15 -file waivers_timing_15.xdc

次の表は、ユーザー除外設定とザイリンクス IP 除外設定に関する write_xdc および write_waivers コマンド間の違いをまとめています。

表 1. 除外設定のエクスポート
Vivado コマンド ユーザー除外設定のエクスポート ザイリンクス IP 除外設定のエクスポート
write_xdc
write_waivers ×