コンポーネント レベル - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: 消費電力解析および最適化 (UG907)

Document ID
UG907
Release Date
2022-04-26
Version
2022.1 日本語

最後に、消費電力が大きいプリミティブのアクティビティ レートを監視します。前述の注意点をすべて考慮して設定した場合、ブロック RAM、GT、DSP などのハード ブロックのアクティビティ レートは適切な値になっているはずですが、ザイリンクスではこれらの値をもう一度確認し、内部ロジック伝搬やツール内のモデリングに問題がないことを確認することをお勧めします。

たとえば、消費電力レポートではアクティビティ レートが GT に伝搬されないという制限があります。GT データ出力がロジックに接続されている場合は、アクティビティ レートを GT の TX/RX 出力に設定する必要があります。

[Report Power] ダイアログ ボックスを使用すると、レジスタ、シフト レジスタ、LUT、RAM、ブロック RAM、DSP、GT などのさまざまなタイプの出力アクティビティ レートを設定できます。これらは、set_switching_activity コマンドに -type オプションを使用しても設定できます。値を設定すると、その後の消費電力レポートの実行でその値が使用されます。グローバル設定は、デザインのハード プリミティブのインスタンスすべてに影響します。たとえば、ブロック RAM のトグル レート設定は、デザインのすべてのブロック RAM に適用されます。アクティビティ レートを変更するには、[Cell Properties] ウィンドウを使用することもできます。消費電力レポートで、ブロック RAM、DSP、および GT セクションを確認します。

図 1. ブロック RAM のアクティビティ レート

アクティビティ レートを変更するには、消費電力レポートでハード ブロック インスタンスを選択して、[Cell Properties] ウィンドウの [Power] ビューでプロパティを変更します。

図 2. ブロック RAM セルの [Cell Properties] ウィンドウの [Power] ビュー

タイプごとにアクティビティ レートを変更する Tcl コマンドは、次のとおりです。

  • 特定のデザイン階層インスタンス (u1/transmit) のブロック RAM すべてにアクティビティ レートを設定するには、次のコマンドを使用します。
    set_switching_activity -static_probability 0.25 -toggle_rate 10 -type bram [get_cells u1/transmit]
  • デザインのすべての GT にアクティビティ レートを設定するには、次のコマンドを使用します。
    set_switching_activity -static_probability 0.5 -toggle_rate 50 -type gt -all