プライマリ入力 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: 消費電力解析および最適化 (UG907)

Document ID
UG907
Release Date
2022-04-26
Version
2022.1 日本語

共通ノードは、前述の推奨事項に従って処理されます。デザイン特有のハンドシェイク (プロトコル、メモリ インターフェイスなど) およびデータ ポートにも注意をする必要があります。理想的には、プライマリ ポートのアクティビティ レートでデザインの全体的なアクティビティが決まります (このアクティビティ レートがダイナミック消費電力の精度に影響を与える)。消費電力レポートの生成では、プライマリ入力 (クロックおよび制御ポート以外) にデフォルトのスイッチング アクティビティ レート (Toggle_rate=12.5 および Static_Probability=0.5) が割り当てられます。つまり、ポートが 8 クロック サイクルで 1 回トグルし、50% の時間 High (ロジック 1) になるということです。この想定はデータ ポートではうまくいきますが、ハンドシェイク ノードに適用すると精度に大きく影響します。これで、プライマリ入力に正しいスイッチング情報を設定することがいかに重要かがわかります。デフォルトのアクティビティ設定は、[Report Power] ダイアログ ボックスの [Switching] タブに表示されます。

図 1. デフォルトのスイッチング アクティビティの設定

すべてのプライマリ入力 (クロックでも制御信号でもない) に適用されるデフォルト値は変更できます。同等 Tcl コマンド:

set_switching_activity -default_static_probability 0.5 -default_toggle_rate 25

すべてのプライマリ入力に同じアクティビティ レートが適用されます。データ ポートとハンドシェイク ポートは区別されないので、ハンドシェイク ポートに対してアクティビティ レートを手動で指定することが重要です。これは、 Vivado® IDE または Tcl コマンドで実行できます。

注記: プライマリ I/O ポートには、正しいスイッチング値が設定されるようにしてください。

消費電力レポートの [I/O] ページには、すべてのポートとそのスイッチング アクティビティ情報がリストされます。

図 2. 消費電力レポートの [I/O] ページ

I/O ポートのアクティビティ レートを確認します。アクティビティ レートを変更するには、消費電力レポートで入力ポートを選択して、[I/O Port Properties] ウィンドウの [Power] ビューでプロパティを変更します。

同等の Tcl コマンド:

set_switching_activity -static_probability 0.25 -toggle_rate 10 [get_ports im_fcx_sync_in]

set_switching_activity -static_probability 0.5 -toggle_rate 50 [get_ports im_fcx_data_in]