ベクターレス消費電力解析 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: 消費電力解析および最適化 (UG907)

Document ID
UG907
Release Date
2022-04-26
Version
2022.1 日本語

ベクターレス伝搬エンジンは、デザイン制約でアクティビティが指定されていない、またはシミュレーション結果からアクティビティが供給されていないデザイン ノードのスイッチング アクティビティを予測します。ベクターレス エンジンは、未定義ノードすべてに初期シード (デフォルトの信号レートおよびスタティック確率) をまず割り当てます。それから、デザインのプライマリ入力から内部ノードの出力へとアクティビティを伝搬し、プライマリ出力に到達するまでこの操作を繰り返します。このアルゴリズムでは、デザインの接続性、リソースの機能、およびコンフィギュレーションが考慮されています。その経験則から、ネットリストに含まれるどのノードのグリッチ レートも見積もることができます。グリッチは、デザイン エレメントがアクティブなクロック エッジ間で数回ステートが変わり、最終的な値に安定するまでに発生します。ベクターレス伝搬エンジンは、適当な長さの現実的なスティミュラスを使用する配線後のシミュレーションほど正確ではありませんが、精度と計算速度のバランスが取られている点で優れています。

重要: ベクターレスの消費電力見積もりでは、GT の出力ポートにアクティビティが伝搬されません。デザイン ロジックがこれらのアクティビティ レートに依存する場合は、正確な解析結果を得るため、set_switching_activity -type <rx_data|tx_data> コマンドで GT 出力のアクティビティ レートを明示的に指定する必要があります。

ベクターレス消費電力解析は、スイッチング レートおよびスタティック確率を変更しない場合は、デザインの平均消費電力見積もりになります。

ベクターレス解析を改善するユーザー入力

特定のノードのアクティビティは、システム仕様またはデバイスが通信するインターフェイスによって決定されるので、どのデザインでもわかっているのが一般的です。特にデバイスの複数のセルを駆動するノード (セット、リセット、クロック イネーブル、またはクロック信号) に対してアクティビティをツールに入力すると、消費電力をより正確に見積もることができます。そのノードには次のものがあります。

クロック アクティビティ
すべてのデバイス クロック ドメインの正確な周波数、クロックが外部から供給されるのか (入力ポート)、内部で生成されるのか、または外部からプリント回路基板に供給されるのか (出力ポート) は、通常わかっています。デザインには、create_clock 制約を使用してクロックを少なくとも 1 つ定義しておく必要があります。クロックがまったく定義されていない場合、[Report Power] を実行すると警告メッセージが表示され、スイッチング アクティビティの計算に 10 GHz クロック周波数が使用されます。
I/O データ ポート
デバイスに入出力するデータのプロトコルおよびフォーマットがわかっている場合、少なくとも一部の I/O に対して信号の遷移レートまたは信号のスタティック確率をツールで指定できます。たとえば、プロトコルに DC バランス要件 (信号のスタティック確率が 50%) がある場合や、メモリ インターフェイスに対するデータの書き込みおよび読み出し頻度がわかっている場合は、ストローブ信号およびデータ信号のデータ レートを設定できます。プライマリ入力にユーザー アクティビティ レートが指定されていない場合、[Report Power] を実行すると、デフォルトのスタティック確率 0.5 とデフォルトのトグル レート 12.5% が割り当てられます。
I/O および内部制御信号
システムおよびその機能から、セット、リセット、クロック イネーブルなどの制御信号のアクティビティを予測できる場合があります。これらの信号は、通常、デザイン ロジックの広い範囲をオン/オフできるので、このアクティビティ情報を入力すると、より正確に消費電力を見積もることができるようになります。プライマリ入力がリセットである (順次エレメントの RESET ピンに直接接続されている) 場合は、スタティック確率 0、信号レート 0 のデフォルト値が割り当てられます。同様に、プライマリ入力がクロック イネーブルである (順次エレメントの CE ピンに直接接続されている) 場合は、スタティック確率 0.99、信号レート 2 のデフォルト値が割り当てられます。
注記: ベクターレス消費電力解析では、アクティビティは GT の出力ポートは伝搬されません。デザイン ロジックがこれらのアクティビティ レートに依存する場合は、正確な解析結果を得るため、set_switching_activity -type gt_txdata|gt_rxdata コマンドで GT 出力のアクティビティ レートを明示的に指定する必要があります。