Precision での mark_debug の構文例 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: プログラムおよびデバッグ (UG908)

Document ID
UG908
Release Date
2022-04-26
Version
2022.1 日本語

次に、Precision を使用する場合の VHDL、Verilog、XCF の構文例を示します。

  • VHDL の構文例
    attribute mark_debug : string;
    attribute mark_debug of char_fifo_dout: signal is "true";
  • Verilog の構文例
    (* mark_debug = "true" *) wire [7:0] char_fifo_dout;