インクリメンタル コンパイルの使用 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: プログラムおよびデバッグ (UG908)

Document ID
UG908
Release Date
2022-04-26
Version
2022.1 日本語

プロジェクト モードと非プロジェクト モードのどちらでも、read_checkpoint -incremental <reference_dcp_file> コマンド (<reference_dcp_file> は基準デザイン チェックポイントのパスとファイル名) を使用して基準デザイン チェックポイントを読み込むと、インクリメンタル配置配線モードになります。-incremental オプションを使用して基準デザイン チェックポイントを読み込むと、次の配置配線でインクリメンタル コンパイル デザイン フローがイネーブルになります。非プロジェクト モードでは、read_checkpoint -incrementalopt_design の後、place_design の前に実行します。デバッグ挿入フローを使用する場合、デバッグ コアに関連する XDC コマンドは opt_design の前に実行する必要があります。