非プロジェクト モードでのインクリメンタル コンパイルの使用 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: プログラムおよびデバッグ (UG908)

Document ID
UG908
Release Date
2022-04-26
Version
2022.1 日本語

非プロジェクト モードで基準デザインとして使用するデザイン チェックポイント ファイル (DCP) を指定してインクリメンタル配置を実行するには、次の手順に従います。

  1. 現在のデザインを読み込みます。
  2. デバッグ コア コマンドを実行します。
  3. opt_design を実行します。
    重要: opt_design オプションと指示子が元の参照している run と使用されているものと、できるだけ同じになるようにしてください。
  4. read_checkpoint -incremental <reference_dcp_file> を実行します。
  5. place_design を実行します。
  6. route_design を実行します。
    # to load the current design
    link_design;
    #Create the debug core
    create_debug_core u_ila_0 ila
    #set debug core properties
    set_property C_DATA_DEPTH 1024 [get_debug_cores u_ila_0]
    set_property C_TRIGIN_EN false [get_debug_cores u_ila_0]
    set_property C_TRIGOUT_EN false [get_debug_cores u_ila_0]
    set_property C_ADV_TRIGGER false [get_debug_cores u_ila_0]
    set_property C_INPUT_PIPE_STAGES 0 [get_debug_cores u_ila_0]
    set_property C_EN_STRG_QUAL false [get_debug_cores u_ila_0]
    set_property ALL_PROBE_SAME_MU true [get_debug_cores u_ila_0]
    set_property ALL_PROBE_SAME_MU_CNT 1 [get_debug_cores u_ila_0]
    #connect the probe ports in the debug core to the signals being probed in the design
    set_property port_width 1 [get_debug_ports u_ila_0/clk]
    connect_debug_port u_ila_0/clk [get_nets [list clk ]]
    set_property port_width 1 [get_debug_ports u_ila_0/probe0]
    connect_debug_port u_ila_0/probe0 [get_nets [list A_or_B]]
    create_debug_port u_ila_0 probe 
    opt_design
    read_checkpoint -incremental <reference_dcp_file>
    place_design
    route_design
    重要: デザインのデバッグ コアを変更するには、合成済みチェックポイントを開く必要があります。配線後のチェックポイントにデバッグ コアを挿入することはできません。