A1 下位のリコンフィギャラブル モジュール結果の作成 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: Dynamic Function eXchange (UG909)

Document ID
UG909
Release Date
2022-06-07
Version
2022.1 日本語

次にデザインのこのバージョンを配置配線して、A1、W1、X1 モジュールをインプリメントします。この後、通常の DFX フローに従って、さまざまなバージョンの W および X の RM 結果をさらに作成し、ロック済みの A1 結果のコンテキストにインプリメントし、チェックポイントを保存していきます。

pr_subdividepr_subdivide の直後にデザインを開始したら、最初にデザインすべてを完了させて (新しいサブモジュールの合成後のデザイン データが <from_dcp> チェックポイントに含まれない場合)、フロアプランにすべての新しい RP の Pblock が含まれるようにします。このコード例では、A1_pblocks.dcp に RP (W と X) の Pblock 情報が含まれます。また、A1 より下位のロジックすべてのタイミングまたはその他の制約が含まれている可能性があります。

read_checkpoint -cell A/W W1.dcp
read_checkpoint -cell A/X X1.dcp
read_xdc A1_pblocks.dcp
opt_design
place_design
route_design
write_checkpoint top_A1_W1_X1_routed.dcp
write_checkpoint -cell A/W W1_routed.dcp
write_checkpoint -cell A/X X1_routed.dcp

この段階では、スタティック デザインを表す Top (既にロック済み) と A1 (ロック準備完了) を使用して通常の DFX フローが続行されます。スタティック デザインをロックして W と X の新しい RM を入れ替えて、RP A の A1 を使用してこの 2 つ目のコンフィギュレーションをインプリメントします。

update_design -black_box -cell A/W
update_design -black_box -cell A/X
lock_design -level routing
write_checkpoint top_a1_static.dcp
read_checkpoint -cell A/W W2.dcp
read_checkpoint -cell A/X X2.dcp
opt_design
place_design
route_design
write_checkpoint top_A1_W2_X2_routed.dcp
write_checkpoint -cell A/W W2_routed.dcp
write_checkpoint -cell A/X X2_routed.dcp

この後、同じように実行していきます。この標準のステップ アンド リピート DFX フローを使用する場合、Top のこのバージョンおよびパーティション A のこのバージョン (A1) とに互換性のある W および X の配線済みチェックポイントのコレクションを作成する必要があります。