タイミング制約 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: Dynamic Function eXchange (UG909)

Document ID
UG909
Release Date
2022-06-07
Version
2022.1 日本語

パーシャル リコンフィギャラブルなデザインのタイミング制約は、従来のフラット デザインのタイミング制約と似ています。プライマリ クロックと I/O には、対応する制約を設定する必要があります。これらの制約については、 『Vivado Design Suite ユーザー ガイド: 制約の使用』 (UG903)このセクション (クロックの定義) およびこのセクション (I/O 遅延の制約) を参照してください。

デザインに正しい制約を適用したら、スタティック タイミング解析を実行してデザインのパフォーマンスを検証します。この検証は、各 RM に対してデザイン全体のコンテキストで実行する必要があります。デザインの解析方法は、 『Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック』 (UG906) を参照してください。

Vivado Design Suite には、セル レベルのタイミング レポートを実行する機能があります。特定の RM でタイミング解析を実行するには、report_timing または report_timing_summary-cell オプションを使用します。これは、以前のコンフィギュレーションからインポートされ固定されているスタティック デザインのコンフィギュレーションで特に便利です。

report_timing および report_timing_summary で生成されるタイミング レポートには Partition 列があり、タイミングを満たしていないパスがスタティック内か RM 内か、RP の境界をまたぐかを特定するのに役立ちます。これら両方のコマンドには新しい -no_pr_attribute オプションがあり、この新しい機能をオフにできます。このオプションは、スクリプトでタイミング レポートを解析しており、この新しい列が悪影響を及ぼす場合に便利です。