パーシャル ビットストリームの生成 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: Dynamic Function eXchange (UG909)

Document ID
UG909
Release Date
2022-06-07
Version
2022.1 日本語

パーシャル ビットストリームを生成する前に、必ず PR 検証を使用してください。PR 検証では、RM が異なるがスタティックが同じである複数のデザイン イメージを比較して、すべての DFX 規則に従っていることを確認します。フル コンフィギュレーション アセンブリが完了している場合は、標準的な方法で PR 検証を実行し、各チェックポイント コンフィギュレーションのスタティック デザイン全体を比較できます。PR 検証を抽象化シェルのコンテキストで実行すると、初期抽象化シェルと配線済み RM を含むシェルを比較できます。配線済み RM を含む抽象化シェルのチェックポイントを Vivado で開いている場合、-in_memory オプションを使用して元のシェルと比較できます。ここでの比較は、ブラック ボックスを含む u_shift 用の抽象化シェルと、ブラック ボックス内に RM がインプリメントされた抽象化シェルの間で実行されます。

次の場合、PR 検証は実行できません。

  • フル スタティック デザイン チェックポイントを抽象化シェルチェックポイントと比較した場合
  • RM チェックポイントが抽象化シェルなしで読み込まれた場合
  • 異なる RP の抽象化シェルが比較された場合