合成 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: Dynamic Function eXchange (UG909)

Document ID
UG909
Release Date
2022-06-07
Version
2022.1 日本語

スタティック モジュールを含む各モジュールは、スタティック モジュールおよび各 RM それぞれにネットリストまたはチェックポイントが作成されるように、ボトムアップ合成する必要があります。

  1. 最上位モジュールを合成します。

    read_verilog top.v (および RM のブラック ボックス モジュールの定義など、スタティック デザインに関連付けられたその他の HDL)

    read_xdc top_synth.xdc
    synth_design -top top -part xc7k70tfbg676-2
    write_checkpoint top_synth.dcp
  2. RM を合成します。
    read_verilog rp1_a.v
    synth_design -top rp1 -part xc7k70tfbg676-2 -mode out_of_context
    write_checkpoint rp1_a_synth.dcp
  3. 残りの各 RM を合成します。
    read_verilog rp1_b.v
    synth_design -top rp1 -part xc7k70tfbg676-2 -mode out_of_context
    write_checkpoint rp1_b_synth.dcp