方法 3: チェックポイントを開く/読み出し - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: Dynamic Function eXchange (UG909)

Document ID
UG909
Release Date
2022-06-07
Version
2022.1 日本語

スタティック (最上位) デザインの合成またはインプリメンテーション結果がチェックポイントとして保存されている場合は、open_checkpoint コマンドを使用して読み込むことができます。このコマンドはスタティック デザイン チェックポイントを読み込み、アクティブ メモリで開きます。

open_checkpoint <file>

チェックポイントがスタティックのものではなく RM の完全なネットリストのものである場合、インスタンス名を read_checkpoint -cell を使用して指定できます。チェックポイントがインプリメンテーション後のチェックポイントである場合は、-strict オプションも使用する必要があります。このオプションを合成後のチェックポイントで使用して、ポートが確実に一致するようにすることもできます。RM のチェックポイントを読み込むには、指定のセルのブラック ボックスを含む最上位デザインを開いておく必要があります。その後、次のコマンドを実行します。

read_checkpoint -cell <cellname> <file> [-strict]
表 1. read_checkpoint オプション
オプション名 説明
-cell RM の完全な階層名を指定します。
-strict セルを置き換えるためにポートが完全に一致している必要があり、パーツ、パッケージ、スピード グレード値が同一であることを確認します。インプリメンテーション データを復元する際には使用する必要があります。
<file> 読み込むチェックポイント (DCP) の完全パスまたは相対パスを指定します。
注意:
合成済みチェックポイントに含まれていない下位モジュールがある場合は、この方法は使用しないでください。read_checkpoint -cell では、入れ子はサポートされません。方法 1: ファイルの追加およびリンク に説明する link_design を使用した方法を使用してください。
注意:
デザイン オブジェクトを指定する Tcl 変数は、その後の read_checkpoint -cell コマンド後に無効になります。これらの変数の内容は、read_checkpoint -cell を 2 回目に呼び出す前に再度指定する必要があります。そうしないと、参照オブジェクトが存在しないため、動作が不適切なものになったり、クラッシュが発生したりする可能性があります。