手順 1: ML ストラテジの RQS ファイルの生成 - 2022.1 日本語

Vivado Design Suite チュートリアル: デザイン解析およびクロージャ テクニック (UG938)

Document ID
UG938
Release Date
2022-05-11
Version
2022.1 日本語
この手順では、QoR 推奨項目を使用して配線済みデザインを開いて、ストラテジを使用して新しい RQS ファイルを生成するプロセスを示します。デザインの詳細は、手順 1: デザインについて を参照してください。
  1. Vivado Design SuiteFile > Project > Open をクリックし、<extract_Dir>/Lab3/project_2 にあるプロジェクトを選択します。

  2. Flow NavigatorOpen Implemented Design をクリックします。
  3. プルダウン メニューから Reports > Report QoR Assessment をクリックし、OK をクリックします。
  4. RQA Summary 表に QoR Assessment Score および Flow Guidance が表示されます。この表を確認することで、ML ストラテジ推奨項目を使用するのに適した候補を見つけやすくなります。QoR 評価スコアが 3 以上の場合は、タイミングを満たすことができるチャンスがあります。RQA スコアが 3 未満のデザインでも、ML ストラテジの生成ができないわけではありません。

  5. ML Strategy Availability をクリックします。この表には、ストラテジを生成するために基準 run が必要とする指示子の詳細が示されます。

    ストラテジを生成するには、すべての指示子のステータスが OK になっている必要があります。要件は、次のとおりです。

    • opt_design 指示子の値は Default または Explore である必要があります。
    • place_designphys_opt_design、および route_design の条件は互いに同じで、Default または Explore に設定されている必要があります。
  6. Design Runs ウィンドウでストラテジが Vivado Implementation Defaults であることを確認します。上記の要件は、[Vivado implementation defaults] または performance_explore ストラテジのいずれかでデザインが実行された場合に満たされます。
  7. プルダウン メニューから Reports > Report QoR Suggestions をクリックし、OK をクリックします。
  8. QoR 推奨項目レポートで GENERATED を選択します。3 つの新しいストラテジが生成されました。

  9. Strategy セクションで一番上のストラテジを選択します。ここでは、推奨されたストラテジの詳細が表示されます。これらは手動で設定することもできますが、プロセスをより簡単に自動化するためには、ストラテジを含む RQS ファイルを読み込み、インプリメンテーション コマンドで指示子を RQS に設定することをお勧めします。

  10. Write Suggestions to Project を選択し、次のファイルを書き込みます。
    • ストラテジを含まない最上位 RQS ファイル (このファイルは無視できます)
    • 各ストラテジの RQS ファイルとその他の QoR 推奨項目 (MLStrategy ディレクトリに書き込まれます)。

    ストラテジ RQS ファイルの生成は、2 段階プロセスの最初の部分です。この方法で推奨項目を生成すると、RQS ファイル内のほかの推奨項目を完全に制御できます。これらのファイルを生成するその他の方法は、次のとおりです。