手順 3: [Report QoR Suggestions] の実行 - 2022.1 日本語

Vivado Design Suite チュートリアル: デザイン解析およびクロージャ テクニック (UG938)

Document ID
UG938
Release Date
2022-05-11
Version
2022.1 日本語

この手順では、レポートを生成するための report_qor_suggestions コマンドの実行方法を説明します。このコマンドは、合成後のインプリメンテーション フローのどの段階でも、開いているデザインに対して実行できます。プロジェクト モードの場合は、通常、合成またはインプリメンテーションの後に実行します。非プロジェクト モードの場合は、synth_designlink_designopt_designplace_designphys_opt_design、または route_design の後に実行できます。

  1. Vivado IDE のプルダウン メニューから、Reports > Report QoR Suggestions... をクリックし、次の図のダイアログ ボックスを開きます。

  2. OK をクリックしてシミュレーションを実行します。Vivado 統合設計デザイン (IDE) にレポートが自動的に開きます。レポートは対話型なので、一度に 1 インスタンスのみ開くことができます。同等の Tcl コマンドは次のとおりです。
    report_qor_suggestions -max_paths 100 -file rqs.rpt
注記: デフォルトでは、RQS コマンドを実行すると、クロック グループごとに 100 のワーストのパスがレポートされます。タイミング クリティカル パスの解析に RQS で使用されるパスの数を、-max_paths オプションを使用して変更できます。この数を増やすと、より多くの推奨項目が生成されますが、その推奨項目の対象はクリティカル パスだけではなくなります。