手順 5: 推奨項目を使用した実行 - 2022.1 日本語

Vivado Design Suite チュートリアル: デザイン解析およびクロージャ テクニック (UG938)

Document ID
UG938
Release Date
2022-05-11
Version
2022.1 日本語

この手順では、run に推奨項目を追加し、適用されるとどうなるのか、どのようにレポートされるのかを確認します。

  1. Design Runs ウィンドウで合成 run 名を右クリックして Copy Run を選択し、OK をクリックします。インプリメンテーション run でも同じ操作を実行し、合成 run 名を作成した新しい名前に変更して、OK をクリックします。

  2. Design Runs ウィンドウで新しい synth_1_copy_1 run を右クリックし、Set QoR Suggestions をクリックします。

  3. 推奨項目ファイルに前の手順でプロジェクトに追加した RQS ファイルを指定し、OK をクリックします。

  4. インプリメンテーション run に対して手順 2 と 3 を繰り返し、新しい合成 run を親 run として指定します。各 run に同じ RQS ファイルを指定します。
  5. Design Runs ウィンドウで、synth_1_copy を右クリックして Make Active をクリックします。
  6. Flow NavigatorRun Synthesis をクリックします。
  7. このデザインの配線には時間がかかるため、ここでは place_design までを実行して解析します。合成が終了したら、Design Runs ウィンドウで新しいインプリメンテーション run を右クリックし、Launch Step To > place_design をクリックします。

  8. インプリメンテーションを実行した状態で、Design Runs ウィンドウを選択します。synth_1_copy 合成 run を右クリックして Open Run をクリックします。
  9. run が開いたら、Reports > QoR Assessment... をクリックし、OK をクリックします。
  10. RQA Summary をクリックします。スコアが 2 から 4 に改善しています。

  11. Assessment Details をクリックします。ネットおよび LUT のバジェットのスコアは低下していますが、削除されていません。これは、このデザインでパスが高周波数で実行されるように強制しているためです。

  12. 合成済みデザインを閉じます。
  13. place_design が終了したら、新しいインプリメンテーション run のインプリメンテーション ログ ファイルの冒頭を確認します。読み込まれた推奨項目のサマリ表が表示されます。このサマリで、正しく読み込まれているかどうかを確認できます。
    
    1. Read QOR Suggestions Summary
    -------------------------------
    
    
    Read QOR Suggestions Summary
    +---------------------------------------------+---------------+-------+
    | Suggestion Summary                          | Incr Friendly | Total |
    +---------------------------------------------+---------------+-------+
    | Total Number of Enabled Suggestions         |             1 |     4 |
    | Automatic                                   |             1 |     4 |
    | Manual                                      |             0 |     0 |
    | APPLICABLE_FOR                              |               |       |
    | synth_design                                |             0 |     3 |
    | opt_design                                  |             0 |     0 |
    | That overlap with synthesis suggestions     |             0 |     0 |
    | place_design                                |             1 |     1 |
    | postplace_phys_opt_design                   |             0 |     0 |
    | route_design                                |             0 |     0 |
    | postroute_phys_opt_design                   |             0 |     0 |
    | ML Strategy                                 |             0 |     0 |
    | Total Number of Disabled Suggestions        |             0 |     0 |
    +---------------------------------------------+---------------+-------+
    
  14. インプリメンテーション run を右クリックし Open Run Directory をクリックします。top_placed.dcp をダブルクリックしてチェックポイント ファイルを開きます。時間を節約するために中間 run 段階を検証しているので、この手順は必須です。
  15. Vivado ツールの新しいインスタンスで Reports > Report QoR Suggestions ... をクリックし、OK をクリックします。
  16. 新しいレポートには、Suggestion Report の下にさらにセクションが追加されています。
    GENERATED
    このセクションには、新しい推奨項目が表示されます。
    EXISTING
    このセクションには、以前に存在していたが適用されていない推奨項目リストされます。図には表示されていません。
    APPLIED
    このセクションには、適用済みの推奨項目がリストされます。
    FAILED TO APPLY
    このセクションには、以前に存在していたが適用されていない推奨項目リストされます。図には表示されていません。


    推奨項目ファイルは、Write Suggestions to Project ボタンを使用すると書き込まれます。

  17. APPLIED をクリックし、いずれかの項目の詳細な表を選択します。APPLIED 推奨項目については、タイミング パスのサマリは引き続き表示されますが、一部の項目が変更されている可能性があるため、Vivado のほかのビューにクロスプローブすることはできません。