手順 6: 推奨項目の蓄積 - 2022.1 日本語

Vivado Design Suite チュートリアル: デザイン解析およびクロージャ テクニック (UG938)

Document ID
UG938
Release Date
2022-05-11
Version
2022.1 日本語
新しく生成された推奨項目を確認し、RQS ファイルに追加できるようになりました。
  1. GENERATED をクリックします。RQS_CLOCK-15 は、THS パスが多いことはレポートしますが、自動推奨項目は表示しないメッセージです。
  2. RQS_CLOCK-2-1 を確認します。タイミング パスのばらつきを改善するため、クロック バッファーを BUFGCE_DIV に変更するよう推奨されています。できる限りこの推奨項目を取り入れてください。ただし、この推奨項目は自動化されていないので、RTL の編集が必要です。これを取り入れて改善する場合は、このステップを省略できます。次の手順では、自動化されている推奨項目に注目します。
  3. RQS_CLOCK-1-1 をクリックし、詳細なレポートを表示します。この推奨項目では、CLOCK_DELAY_GROUP を関連クロックに適用します。このレポートから、クロック スキューが大きく、スラック エラーが発生していることがわかります。

    スキューの見積りは配置に大きく依存するため、place_design よりも前にクロック スキューを判別することは困難です。このため、RQS ではデザインが配置されていない限り、この推奨項目は表示しません。情報レベルで変更があった場合は、report_qor_suggestions の実行を推奨します。次に、ツール フローの進捗に伴う変更の概要を示します。

    • クロッキング見積もりは、place_design の後は正確です。
    • 密集情報は、配置後にのみ表示され、配線後にさらに改善されます。
    • タイミング見積もりはフロー全体で改善されていき、解析されたパスの数に影響されます。
  4. Write Suggestions To Project をクリックします。推奨項目が書き込まれると、APPLIED ステータスがリセットされます。以前のすべての推奨項目および新しい RQS_CLOCK-1-1 推奨項目が 1 つのファイルに結合されます。前のファイルを上書きして run を再利用するか、新しいファイルおよび新しい run を作成できます。
  5. 既存のファイルを上書きするファイルのディレクトリを選択します。このディレクトリは、[Sources] ウィンドウで選択すると確認できます。または、手順どおり実行した場合は、<extract_dir>/Lab2/project_2/project_2.srcs/utils_1/imports/project_2 ディレクトリになるはずです。

    ここまでで、RQS ファイルの処理および推奨項目の蓄積の基礎について説明しました。時間がある場合は、インプリメンテーションを route_design まで実行し直して、最新の推奨項目の影響を調べます。または、独自のデザインで report_qor_suggestions を実行して、別の推奨項目を生成してください。

  6. run を閉じます。
  7. Design Runs ウィンドウでインプリメンテーション run の impl_1_copy_1 を右クリックし、Launch to > Route Design をクリックします。配線が終了したら、Generate ML Strategies をクリックします。これにより、RQS ファイルが 3 つ生成され、それぞれに ML ストラテジデフォルト、APPLIED デフォルト、および GENERATED デフォルト (オプション) が含まれます。

  8. 生成が終了すると、Design Runs ウィンドウでインプリメンテーション run (impl_1_copy_1) を右クリックし、Create ML Strategy Runs をクリックします。これにより、れぞれが異なる ML ベースのインプリメンテーション ストラテジをターゲットとする 3 つのインプリメンテーション run が作成されます。