Tcl スクリプトの実行 - 2022.1 日本語

Vivado Design Suite チュートリアル: IP を使用した設計 (UG939)

Document ID
UG939
Release Date
2022-06-10
Version
2022.1 日本語
Vivado® Design Suite ではバッチ モードまたは Tcl モードで non_project_run.tcl スクリプトを実行できます。
  • バッチ モードでは、読み出されたスクリプトが実行され、スクリプトが処理を完了すると、自動的にツールが終了します。
  • Tcl モードでは、読み出されたスクリプトが実行され、スクリプトが完了すると、Tcl コマンドのプロンプトに戻ります。
  1. 演習ファイルが保存されているディレクトリに移動します。
    • Linux: cd <Extract_Dir>/lab_4
  2. Vivado® Design Suite Tcl シェルを起動し、チュートリアル デザインを作成するための Tcl スクリプトを実行します。
    • Linux: vivado -mode tcl -source non_project_run.tcl
    • Windows で Start > All Programs > Xilinx Design Tools > Vivado 2021.2 > Vivado 2021.2 Tcl Shell をクリックして Vivado Design Suite Tcl シェルを起動します。
  3. Tcl シェルでは次を実行します。
    • 演習ファイルが保存されているディレクトリに移動します。
      Vivado% cd <Extract_Dir>/lab_4
    • デザインを作成する Tcl スクリプトを実行します。
      Vivado% source non_project_run.tcl

      スクリプトが完了すると、Tcl シェルに Vivado% プロンプトが表示されます。

      重要: Tcl スクリプトにエラーがあると、エラーが発生した時点でスクリプトは実行を停止します。このエラーを修正し、Tcl スクリプトを再実行する必要があります。Tcl モードで実行している場合は、 close_project で現在のプロジェクトを閉じるか、または、Tcl スクリプトを再び実行するため、Vivado を終了する必要があります。


    スクリプトを実行すると、IP という名前のディレクトリが作成されます。デザインで使用されているさまざまな IP の出力ファイルがこのディレクトリに書き込まれます。レポート、デザイン チェックポイント、ビットストリームもディスクに書き込まれます。

  4. さらに解析を実行するには、Vivado IDE でデザインを開きます。Tcl プロンプトから Vivado IDE を開くには、「start_gui」と入力します。