まとめ - 2022.1 日本語

Vivado Design Suite チュートリアル: IP を使用した設計 (UG939)

Document ID
UG939
Release Date
2022-06-10
Version
2022.1 日本語

非プロジェクト モードを使用すると、 Vivado® Design Suite を最大限に制御でき、プロジェクト モードでは利用できないような高度な機能にもアクセスできます。ただし、非プロジェクト モードでも、手動でソース ファイルを管理し、ソース ファイルが変更になればデザインをアップデートし、合成およびインプリメンテーションのストラテジを手動でプランし実行する必要があります。この演習では、特に次について説明しました。

  • Verilog ソース ファイルの読み込みおよび IP ソースの読み出し。
  • 合成およびインプリメンテーションに必要な IP 出力ファイルの生成、およびそのディスエーブル。
  • IP に新しいバージョンがあるかどうかの検索、および新しいバージョンがあった場合のアップグレード。
  • IP の合成デザイン チェックポイント (DCP) の作成。
  • 手動による合成実行およびインプリメンテーションの個々のステップの実行。
  • カスタム レポートの生成。