チュートリアルの説明 - 2022.1 日本語

Vivado Design Suite チュートリアル: IP を使用した設計 (UG939)

Document ID
UG939
Release Date
2022-06-10
Version
2022.1 日本語

このチュートリアルには、次に説明するように複数の演習が含まれます。

演習 1
FIFO が抜けている ザイリンクス wave_gen サンプル デザインの変更バージョンを開きます。IP カタログでこの IP を検索し、カスタマイズした後、デザインにそれをインスタンシエートします。
演習 2
Manage IP フローを使用して IP を作成し、カスタマイズします。プロジェクトを作成し、IP カタログから IP を最上位ソースとして含め、IP をカスタマイズして検証します。オプションで、サードパーティ合成フローでカスタマイズした IP をブラック ボックスとして使用します。
演習 3
Vivado® Design Suite を使用して Tcl スクリプトを記述し実行して、プロジェクトを作成し、IP を追加およびアップグレードし、IP ソースのディスエーブルし、合成後のデザイン チェックポイント (DCP) を含む出力ファイルを生成します。
演習 4
IP ソースの読み込み、IP のアップグレード、IP ソースのディスエーブル、デザイン チェックポイント (DCP) を含む出力ファイルの生成のため、Vivado Design Suite を使用して 非プロジェクトTcl スクリプトを記述し実行します。