手順 3: Clocking Wizard のカスタマイズ - 2022.1 日本語

Vivado Design Suite チュートリアル: IP を使用した設計 (UG939)

Document ID
UG939
Release Date
2022-06-10
Version
2022.1 日本語
Clocking Wizard IP をカスタマイズします。
  1. IP カタログで FIFO Generator IP を検索したときと同じ手順で、Clokcing Wizard IP を検索してカスタマイズを開始します。
  2. [Component Name] を「clk_core」に変更します。
  3. [Clocking Options] タブで、次の図のように、[Input Clock Information] を除き、すべての設定をデフォルトのままにします。
    プライマリ クロック入力周波数
    200
    ソース
    [Differential clock capable pin]


  4. [Output Clocks] タブで、[Port Name] および [Output Freq Requested] を次のように変更します。
    clk_out1
    clk_rx、200
    clk_out2
    clk_tx、166.66667

    次の図のようなコンフィギュレーションになるはずです。



  5. OK をクリックし、[Generate Output Product] を開きます。
  6. Generate をクリックしてから、OK をクリックします。
  7. 次の図のように、 Vivado® で、Clocking Wizard IP のデザイン run が作成され、起動します。

  8. FIFO Generator IP をカスタマイズしたときと同じように、カスタマイズした Clocking Wizard IP (clk_core) 用に生成された出力ファイルおよびディレクトリが指定どおりに生成されていることを確認します。