非プロジェクトのサードパティ スクリプトの作成 - 2022.1 日本語

Vivado Design Suite チュートリアル: IP を使用した設計 (UG939)

Document ID
UG939
Release Date
2022-06-10
Version
2022.1 日本語

最上位デザイン ネットリスト、IP、制約ファイルを読み出し、それからデザインをインプリメントする非プロジェクト スクリプトを作成できます。

使用するソース ファイルのディレクトリをポイントするように、このスクリプトを変更します。

# Set the target part to be used
set_part xc7k70tfbg676-1
# Read top-level EDIF netlist produced by the third party synthesis tool
read_edif ./sources/wave_gen.edf
# Read the two IP
# Read the IP and use any required output products generated (XDC, DCP, etc.)
read_ip ./my_ip/char_fifo/char_fifo.xci
read_ip ./my_ip/clk_core/clk_core.xci
# read top-level constraints
read_xdc ./sources/wave_gen_timing.xdc
read_xdc ./sources/wave_gen_pins.xdc
# Link the netlists to build the in-memory design database
link_design -top wave_gen
# Implement the design in Non-Project Mode
opt_design
place_design
route_design
# write out an implemented design checkpoint
write_checkpoint -force wave_gen_post_route.dcp

その IP カスタマイズ用のブラック ボックスは、デザインがリンクされたときに合成された DCP からのネットリストに置き換えられ、またデザイン制約は各インスタンスに適用されます。