手順 3: デザインの合成 - 2022.1 日本語

Vivado Design Suite チュートリアル: Dynamic Function eXchange (UG947)

Document ID
UG947
Release Date
2022-05-31
Version
2022.1 日本語

run_synth.tcl スクリプトは、このチュートリアルの合成フェーズを自動化します。合成が最上位のスタティック デザインに 1 回、一次リコンフィギャラブル モジュールに 2 回、二次リコンフィギャラブル モジュールに 4 回、合計 7 回呼び出されます。

  1. Vivado® Tcl シェルを開きます。

    Windows の場合は、ザイリンクス Vivado デスクトップ アイコンをクリックするか、または Start > All Programs > Xilinx Design Tools > Vivado 2022.1 > Vivado 2022.1 Tcl Shell をクリックします。

    Linux の場合は、「vivado -mode tcl」と入力します。

  2. シェルで、<Extract_Dir> ディレクトリに移動します。
  3. ターゲットボードが run_synth.tcl の xboard 変数で選択されていることを確認します。
  4. 次を入力して、run_synth.tcl を実行します。
    source run_synth.tcl -notrace 

Vivado 合成の 7 回の実行がすべて完了した後も、Vivado Tcl シェルは開いたままです。各モジュールのログやレポート ファイル、最終チェックポイントは、Synth サブディレクトリに各モジュール名の付いたフォルダーがあって、その中に生成されています。

ヒント: <Extract_Dir> ディレクトリには、複数のログ ファイルが作成されます。
  1. run.log には、Tcl シェルのウィンドウに表示されたサマリが書き込まれます。
  2. command.log には、スクリプトにより実行された個々のステップすべてが書き込まれます。
  3. critical.log には、実行中に出力されたクリティカル警告メッセージがすべて書き込まれます。
注記: command.log ファイルはそれ自体が Tcl 実行スクリプトです。このファイルは必要に応じて変更でき、複雑でパラメーター指定された TCL_HD スクリプト代わりに読み込んで、同じ結果を再現できます。