手順 3: 抽象化シェルの作成 - 2022.1 日本語

Vivado Design Suite チュートリアル: Dynamic Function eXchange (UG947)

Document ID
UG947
Release Date
2022-05-31
Version
2022.1 日本語

デフォルトの DFX フローでは、親コンフィギュレーションの配置と配線が完了した後に複数のデザイン チェックポイントが書き込まれます。Vivado プロジェクト フローでは、完全な配線済みデザイン チェックポイントに加えて、各リコンフィギャラブル パーティションごとに update_design -black_box を呼び出し、次に lock_design -level routing を呼び出すことで、すべての子 run の開始点となるスタティックのみのデザイン チェックポイントも作成されます。さらに、write_checkpoint -cell を呼び出すことにより、親コンフィギュレーション内の各 RM に対してモジュール レベルのチェックポイントが生成されます。これらのファイルを作成するためにユーザーが何かする必要はありません。

  1. 親コンフィギュレーション用に作成されたファイルを確認します。Windows エクスプローラーまたはシェル コンソールで impl_1 サブディレクトリに移動します。
    \abstract_shell\dfxc_vcu118\project_dfxc_vcu118\project_dfxc_vcu118.runs\impl_1
    さまざまなデザイン チェックポイントとそのサイズを確認します。ここに記載されているファイル サイズは、Vivado ツールのバージョン、インプリメンテーション run オプション、およびオペレーティング システムによって若干異なる場合があります。重要なファイルは次のとおりです。
    • top_routed.dcp (58,284 KB) – RP ごとに 1 つの RM を含む完全な配線済みデザイン
    • top_routed_bb.dcp (55,819 KB) – ロック済み配置配線と各 RP のブラック ボックスを含むスタティックのみのデザイン
    • u_count_count_up_routed.dcp (1,267 KB) – count_up RM インスタンスの配線済みモジュール レベルのチェックポイント
    • u_shift_shift_right_routed.dcp (463 KB) – shift_right RM インスタンスの配線済みのモジュール レベルのチェックポイント

    このデザインでは、サイズと複雑さを考慮すると、リコンフィギャラブル モジュールのチェックポイントがスタティック デザインのチェックポイントよりもはるかに小さくなることは驚くべきことではありません。

    図 1. フル デザイン チェックポイント (左) およびスタティックのみのチェックポイント (右)
  2. u_count インスタンスと u_shift インスタンスの両方に抽象化シェルを作成します。[Tcl Console] の現在の作業ディレクトリが <extract_dir> ディレクトリであることを確認します。このディレクトリは、project_dfxc_vcu118、sources および abstract_shell フォルダーが存在する場所と同じ場所です。

    write_abstract_shell -force -cell u_count ./abstract_shell/ab_sh_count.dcp

    write_abstract_shell -force -cell u_shift ./abstract_shell/ab_sh_shift.dcp

    最初に write_abstract_shell を呼び出すたびにメモリ内に完全なデザイン チェックポイントのコピーが作成され、次の手順は自動的に実行されます。
    • update_design -black_box を使用してターゲット リコンフィギャラブル パーティションをブラック ボックスにします。
    • 残りのデザイン (ほかのリコンフィギャラブル モジュールを含む) をロックします。
    • ターゲット RP の抽象化シェルの生成
    • pr_verify を実行してこのチェックポイントを元の完全に配線されたデザインと比較します。

    このプロセスには write_checkpoint の単純な呼び出しよりも時間がかかりますが、ほとんどの場合、初期実行に時間がかかっても、RM のコンパイル時間を大幅に削減できます。

  3. 抽象化シェルのサイズを調べ、top_routed_bb.dcp フル シェル チェックポイントのサイズと比較します。
    ここでもサイズは異なる場合がありますが、Windows で Vivado 2020.2 初期リリースを使用した場合の抽象化シェルのファイルサイズは次のとおりです。
    • ab_sh_count.dcp (1,785 KB) – Count RP の抽象化シェル
    • ab_sh_shift.dcp (1,699 KB) – Shift RP の抽象化シェル
  4. 各抽象化シェル チェックポイントを開き、内容を確認します。

    open_checkpoint ./abstract_shell/ab_sh_count.dcp

    図 2. Count RP (上部 2 つの SLR のみ) の抽象化シェル
    どれくらいのスタティック デザインがなくなったかを確認します。この単純なデザインでは、図 1図 2 を比較して、視覚的にわかりやすくしています。抽象化シェルごとに 1 つの RP だけが残っていることがわかります。u_count のシェルには u_shift は含まれず、u_shift のシェルには u_count は含まれません。ただし、スタティック デザインの大部分は削除されていても、各ターゲット RP に接続できるので、DFX Controller および DFX Decoupler デザインの要素を含め、パーツは残ります。
    図 3. u_count 抽象化シェルの残りの階層
  5. 配線レポートを実行して、抽象化シェルに損傷がないことを確認します。

    report_route_status

    この手順はオプションであり、単に抽象化シェルが配線エラーのない有効なデザイン データベースであることを示しています。

  6. 抽象化シェル チェックポイントを閉じます。

    close_project