概要 - 2022.1 日本語

Vivado Design Suite チュートリアル: Dynamic Function eXchange (UG947)

Document ID
UG947
Release Date
2022-05-31
Version
2022.1 日本語

Vivado ツール フローでは、DFX デザインをコンテキスト内でコンパイルできます。このソリューションでは、配置配線を複数回実行する必要があります。最初の実行で、スタティック デザイン インプリメンテーションと、各リコンフィギャラブル パーティション (RP) の最初のリコンフィギャラブル モジュール (RM) を確立します。その後の配置と実行は、初期スタティック イメージのコンテキストで実行されます。2 つ目以降の RM をインプリメントするには、完全に配置およびロックされたスタティック デザイン データベース (スタティック領域全体のネットリストと配置配線情報を含む) が必要です。

抽象化シェル ソリューションでは、このコンテキスト内フローの要件が削減されます。スタティック デザインがロックされているので、新しい RM をインプリメントするときには変更できず、変更すべきではありません。コンテキストはこれまでどおり重要なので、ツールを介するパスは変更されません。ただし、フル スタティック デザイン イメージを読み込む代わりに、抽象化シェル チェックポイントが使用されます。この抽象化シェルには、特定の RP 内に新しい RM をインプリメントしてタイミングを検証し、PR 検証をパスしてから、その RM の部分ビットストリームを生成するために必要な最小限の論理および物理データベースのみが含まれます。

この演習では、演習 7 で使用した DFX Controller IP を使用します。最初のパス スルー配置配線は、演習 7 で完了した run と同じですが、新しい RM をインプリメントする子 run すべてが抽象シェル内で実行されます。最終的な結果は、VCU118 のプログラムに使用できるデザイン チェックポイントのコレクションで、演習 7 で実行したのと同じ方法で実行できますが、子 RM を作成するためのコンパイル時間は短縮されます。