ライセンス キー ファイルの生成 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: リリース ノート、インストール、およびライセンス (UG973)

Document ID
UG973
Release Date
2022-04-26
Version
2022.1 日本語

証明書ベースのライセンスの場合は、ライセンスをロックする Flexera ホスト ID (イーサネット MAC ID、ドライブ シリアル番号またはドングル ID) がわかっていれば、ザイリンクス ユーティリティの 1 つからザイリンクス ライセンス管理サイトにアクセスする必要はありません。

注記: 次に示す手順では、フローティングの証明書ベースのライセンスを生成します。このプロセスには、その他すべての証明書ベース ライセンスの生成フローも含まれます。
  1. 製品ライセンス ページにアクセスします。

    ザイリンクス アカウント情報を使用してサインインし、情報を確認して [Next] をクリックします。



    • 既にザイリンクス ユーザー アカウントをお持ちの場合は、電子メール アドレスとパスワードを入力して、登録情報が正しいことを確認します。
    • アカウントがない場合は、Create Account (アカウントを作成) リンクをクリックし、アカウントを作成します。
    • 米国政府輸出許可のため、認証に必要な名前と住所の情報を入力し、Next をクリックします。

    製品ライセンス ページが表示されます。



  2. [Account] ドロップダウン リストから製品ライセンス アカウントを選択します。
    注記: 評価版または無償の製品を使用する場合は、このドロップダウン リストは表示されません。
  3. (オプション) ツールまたは IP 製品をキットと一緒に購入した場合またはザイリンクス オンライン ストアから購入した場合は、製品バウチャー コードを入力します。製品バウチャー カードを所持している場合は、カードに記述されたバウチャー コードをテキスト フィールドに入力し、Redeem Now ボタンをクリックします。

    デザイン ツールおよび IP 製品ライセンスの製品バウチャーは、ザイリンクスまたはパートナーの開発ボードまたはデザイン キットと共に送信されます。これで、該当するデザイン ツールまたは IP 製品の権限が表に追加され、ライセンス キーが生成できるようになります。

  4. (オプション) 製品権限の表に評価版または無償の IP 製品の権限を追加します。

    評価版および無償の IP を追加するには、[Add Evaluation and No Charge IP Cores] セクションで Search Now ボタンをクリックし、表示されるページで IP を検索します。



  5. 証明書ベース権限の表から製品を選択します。

    次の製品権限があります。

    • Full (購入済み)
    • No Charge (無償)
      注記: フル ライセンスおよび無償ライセンスの有効期限は 1 年間です。
    • Evaluation (評価版)
      注記: デザイン ツールの評価版の有効期限は 30 日間、IP の評価版の有効期限は 120 日間です。

      [Vivado Design Suite: 30-Day Evaluation License] は、Vivado デザイン ツールのすべての機能にアクセスできる評価版です。この製品権限は、製品ライセンス アカウントに自動的に含まれます。

    表の [Status] に [Current] と表示されている場合はその製品が保証期間内にあることを示し、[Expired] と表示されている場合は保証期間が終了していることを示します。[Available Seats] の数がまだある場合は、「Current」または「Expired」の製品権限のライセンスを生成できます。

  6. 各製品ライセンスに必要なシート数を選択します。

    これは、フローティング ライセンスの場合にのみ選択します。すべてのノード ロック ライセンスは、1 シートのみずつになります。製品権限に対して使用可能なシート数は、システムで自動的に維持されます。[Requested Seats] フィールドはデフォルトでは 0 になっていますが、ここには製品権限で残っているシート数までどの数値でも入力できます。すべてのシートが生成されると、製品が製品権限の表から削除されます。

    デザイン ツールの場合、表の [Available Seats] に購入したライセンスの総数が表示されます。IP の場合、ライセンスはサイトの契約に従って管理されます。

  7. 該当するライセンス ファイル タイプ用の Generate License ボタンをクリックします。
    注記: フローティング/サーバーおよびノード ロック/クライアント ライセンスは、同じライセンス ファイルにまとめることはできません。1 つのライセンス タイプのみを含む権限を選択すると、[Generate] ボタンをクリックしたときに、もう一方のライセンス タイプが選択できなくなります。

    ライセンスを生成する製品とシステムを指定する新しいウィンドウが表示されます。



  8. システム情報を入力します。

    フローティングの証明書ベースのライセンスの場合、最初のフィールドは [Redundancy] で、[Triple Redundant] サーバー構成 (別名: トライアド) を選択すると、ライセンス マネージャー ソフトウェアに対するフェイル オーバーが実行されます。3 つのサーバーのうち 2 つが実行されていれば、ライセンス マネージャーの実行は継続されます。これは、ノード ロック ライセンスの場合は関係ありません。システム情報は、Vivado License Manager 内のリンクから製品ライセンス サイトにアクセスした場合、[Host ID] ドロップダウン リストに自動的に表示されます。

    1. システム情報が入力されていない場合や別のホストを追加する場合は、Select a Host をクリックしてから Add a Host をクリックします。

    2. ホストの情報を入力します。

      ホスト ID とは、ソフトウェアまたは IP のライセンスが与えられたマシンを識別する値で、ホスト ID タイプには、MAC アドレス、ハード ドライブのシリアル番号、ドングル ID などを選択できます。

      注記: すべてのホスト ID タイプがどのオペレーティング システムでもサポートされるわけではありません。ホスト ID を取得するには、ライセンス ホストとなるマシンで Vivado License Manager を実行するのが一番簡単な方法です。
  9. (オプション) コメントを追加します。

    コメントを追加すると、管理者がデザイン ツールおよび IP 製品の権限をユーザーにどのように割り当てているかなどを記録できます。



  10. Next をクリックします。
  11. 選択を確認し、Next をクリックします。
  12. 使用許諾契約を承諾します。
    注記: IP 製品のライセンスを精製する場合は、ライセンス ファイルを生成する前に関連する IP 製品の EULA を許諾する必要があります。

ライセンス生成プロセスが終了すると、次のような確認メッセージが表示されます。



xilinx.notification@entitlenow.com からライセンス ファイルが電子メールで送付されるので、それをマシンに保存します。

ヒント: ライセンス ファイルを電子メールで受け取らなかった場合は、製品ライセンスから直接ダウンロードできます。