互換性のあるサードパーティ ツール - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: リリース ノート、インストール、およびライセンス (UG973)

Document ID
UG973
Release Date
2022-04-26
Version
2022.1 日本語
表 1. 互換性のあるサードパーティ ツール
サードパーティ ツール Red Hat 64 ビット Linux SUSE Linux Windows 10 64 ビット Ubuntu
シミュレーション
Mentor Graphics ModelSim SE (2021.3) × ×
Mentor Graphics Questa Advanced Simulator (2021.3) × ×
Cadence Xcelium Parallel Simulator (21.09.002) × × ×
Synopsys VCS (S-2021.09) × × ×
MathWorks MATLAB® および Simulink® (R2021a および R2021b)
Aldec Active-HDL (12) 1 × × ×
Aldec Riviera-PRO (2021.04SR1) × ×
合成 2
Synopsys Synplify base/elite/apex (R-2021.09-SP1) 3 ×
Mentor Graphics Precision RTL/Plus (2019.1.1) ×
等価チェック
OneSpin 360 (2020.1.1) × ×
  1. Aldec シミュレータのサポートは Aldec 社より提供されています。
  2. Vivado RTL ソースには暗号化されたファイルが含まれる可能性があるので、ほとんどの IP は Vivado 合成でのみ合成可能です。この IP をサードパーティ合成フローで使用するには、Vivado ツールからネットリストを最適な形式でエクスポートして、サードパーティ合成プロジェクトで使用できるようにします。
  3. Synplify Overlay またはサービス パックの利用については、Synopsys 社にお問い合わせください。
  4. Vitis Model Composer OS サポートの詳細は、 『Vitis Model Composer ユーザー ガイド』 (UG1483) を参照してください。
注記: 上記の表にリストされているバージョンは、Vivado ツールで使用するために最低限必要なバージョンです。それより前のバージョンはテストされていません。
注記: アドバンス シミュレーション機能の使用にライセンスが必要かどうかは、シミュレーション ベンダーにお問い合わせください。