手順 4: タイミング要件を厳しくする - 2022.1 日本語

Vivado Design Suite チュートリアル: インプリメンテーション (UG986)

Document ID
UG986
Release Date
2022-05-24
Version
2022.1 日本語
Performance_Explore ストラテジのタイミングへの影響を調べるため、タイミング制約を厳しくしてタイミング クロージャの達成を難しくします。
  1. [Sources] ウィンドウで constrs_2 制約セットの top_full.xdc ファイルをダブルクリックします。

    制約ファイルが Vivado IDE のテキスト エディターで開きます。



  2. 2 行目で create_clock 制約を 10 ns から 7.35 ns に変更します。

    新しい制約は次のとおりです。

    create_clock -period 7.35 -name sysClk [get_ports sysClk]
  3. テキスト エディターの [Save File] ツールバー ボタン をクリックして変更を保存します。
    注記: 制約ファイルを保存すると、[Design Runs] ウィンドウでその制約ファイルを使用するすべての run のステータスが [Complete] から [Out-of-date] に変わります。

  4. [Design Runs] ウィンドウですべての run を選択し、[Reset Runs] ボタン をクリックします。
  5. [Reset Runs] ダイアログ ボックスで Reset をクリックします。

    Vivado Design Suite で選択した run に関連付けられているすべてのファイルがプロジェクト ディレクトリから削除されます。すべての run のステータスが [Out-of-date] から [Not started] に変わります。

  6. [Design Runs] ウィンドウですべての run を選択した状態で、[Launch Runs] ボタン をクリックします。

    [Launch Selected Runs] ウィンドウが開きます。

    ヒント: run をリセットせずに実行することもできます。run が最新でない場合は、[Reset Runs] ダイアログ ボックスが表示されます。このダイアログ ボックスで、run を実行する前にリセットできます。
  7. Launch runs on local host をオンにし、[Number of jobs] を 2 に設定して、OK をクリックします。

    アクティブ run (impl_3) が完了すると、[Implementation Completed] ダイアログ ボックスが表示されます。

  8. Cancel をクリックしてダイアログ ボックスを閉じます。
  9. [Design Runs] ウィンドウで、[Elapsed] 列に示される実行にかかった時間を比較します。

    • Performance_Explore ストラテジを使用する impl_2 run がタイミングを満たすのに最も近いですが、実行時間も最も長くなっています。
    注記: Performance_Explore ストラテジは、タイミング制約を満たすのが困難で、Implementation Defaults ストラテジではタイミングが満たされなかったデザインにのみ使用してください。