手順 5: インクリメンタルな変更を加える - 2022.1 日本語

Vivado Design Suite チュートリアル: インプリメンテーション (UG986)

Document ID
UG986
Release Date
2022-05-24
Version
2022.1 日本語
この手順では、RTL デザイン ソースに少しの変更を加えます。これらの変更により、ネットリストの再合成とデザインの再インプリメントが必要となります。
  1. [Sources] ウィンドウの [Hierarchy] タブで、arnd1 の下にある最上位 VHDL ファイル core_transform.vhdl をダブルクリックし、Vivado IDE テキスト エディターでファイルを開きます。

  2. 65 行目に進み、入力を uRegxReg に入れ替えます。次のコード例は、必要な変更を示しています。
    変更前 変更後
    
    begin
        process (clk)
        begin
        if rising_edge(clk) then
             xStepReg <= xStep;
              uReg <= u;
              xReg <= x;
        end if;
        end process;
     
    begin
        process (clk)
        begin
        if rising_edge(clk) then
             xStepReg <= xStep;
              --uReg <= u;
              --xReg <= x;
              uReg <= x;
              xReg <= u;
        end if;
        end process;
  3. テキスト エディターの [Save File] ツールバー ボタン をクリックして変更を保存します。

    デザイン ソース ファイルを変更すると、次の図に示すように、[Design Runs] ウィンドウで run のステータスが [Complete] から [Out-of-date] に変わります。