手順 6: 配線のほかのネットへのコピー - 2022.1 日本語

Vivado Design Suite チュートリアル: インプリメンテーション (UG986)

Document ID
UG986
Release Date
2022-05-24
Version
2022.1 日本語
ネット wbOutputData_OBUF[14] に使用されているのと同じ配線をほかの偶数インデックス ネットに、ネット wbOutputData_OBUF[15] の固定配線をほかの奇数インデックス ネットに適用するには、次の手順に説明する Tcl の for ループを使用できます。
  1. Tcl Console タブをクリックします。
  2. 奇数インデックス ネットおよび偶数インデックス ネットの配線パスを格納する Tcl 変数を設定します。
    set even [get_property FIXED_ROUTE [get_nets wbOutputData_OBUF[14]]]
    set odd [get_property FIXED_ROUTE [get_nets wbOutputData_OBUF[15]]]
    
  3. 出力データ バスの上位ビット ネット wbOutputData_OBUF[16:31] を含む、配線するネットのリストを格納する Tcl 変数を設定します。
    for {set i 16} {$i<32} {incr i}  {
       lappend routeNets [get_nets wbOutputData_OBUF[$i]]
    }
    
  4. 指定したネットの配線を解除します。
    route_design -unroute -nets $routeNets
  5. ネット wbOutputData_OBUF[14] の FIXED_ROUTE プロパティを偶数インデックス ネットに適用します。
    for {set i 16} {$i<32} {incr i 2}  {
       set_property FIXED_ROUTE $even [get_nets wbOutputData_OBUF[$i]]
    }
    
  6. ネット wbOutputData_OBUF[15] の FIXED_ROUTE プロパティを奇数インデックス ネットに適用します。
    for {set i 17} {$i<32} {incr i 2}  {
       set_property FIXED_ROUTE $odd [get_nets wbOutputData_OBUF[$i]]
    }
    

    出力データ バスの奇数および偶数インデックス ネットに同じ配線パスが使用され、上位ビットに遅延が追加されます。配線ステータスレポートおよびデータシート レポートを生成し、デザインを検証します。

  7. [Tcl Console] ウィンドウに次のコマンドを入力します。
    report_route_status
    ヒント: 手動で配線したネットの FIXED_ROUTE プロパティに割り当てたノードを使用するネットが配線済みデザインに含まれている場合、配線エラーがレポートされることがあります。[Routing Assignment] ウィンドウで [Allow overlap with unfixed nets] をオンにしたことを思い出してください。
  8. 配線エラーがレポートされた場合は、[Tcl Console] ウィンドウに route_design コマンドを入力します。

    FIXED_ROUTE プロパティが設定されているネットは、自動配線されたネットよりも優先されます。

  9. route_design コマンドの後、report_route_status コマンドを再実行してエラーが解消されたかどうかを確認します。
  10. [Device] ウィンドウで、出力データ バスが次の図に示すようになっているかどうかを確認します。
    • 出力レジスタから出力バス wbOutputData の上位ビット 14 ~ 31 の出力ピンへのネットにはすべて、同一の固定配線セクション (次の図の破線) があります。
    • 出力レジスタの LOC および BEL を修正する必要はありません。これは、前の手順で place_cell コマンドで実行しました。


    上位ビット ネット wbOutputData_OBUF[31:14] を少し長くして遅延を追加したので、出力バスのタイミングを再度検証します。

  11. Timing ウィンドウを選択します。

    ウィンドウのバナーに、タイミング データが変更されたためレポートが最新でないことを示す情報メッセージが表示されています。

  12. rerun をクリックし、レポートを最新のタイミング情報でアップデートします。
  13. Max/Min Delays for Groups > Clocked by wbClk > wbOutputData[0] セクションをクリックし、wbOutputData バスのタイミング情報を表示します。

    出力バス wbOutputData のすべてのビット間で clock-to-out タイミングのばらつきが 83 ps 以内になりました。

  14. 制約をターゲット XDC に保存し、デザインをコンパイルするたびに適用されるようにします。
  15. File > Constraints > Save をクリックして、配置制約をアクティブ制約セット constrs_1 のターゲット制約ファイル bft_full.xdc に保存します。

    制約がアップデートされたので、合成とインプリメンテーションが最新でなくなります。新しい制約は既に適用されているので、ツールバーの Details をクリックしてデザインを強制的にアップデートにできます。