IP インテグレーター デザインのデバッグ - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: IP インテグレーターを使用した IP サブシステムの設計 (UG994)

Document ID
UG994
Release Date
2022-04-20
Version
2022.1 日本語

インシステム デバッグを使用すると、ターゲット ハードウェアでデザインをリアルタイムにデバッグできます。これは、デザインを完成させるために必須の手順です。シミュレータでは再現するのが非常に困難な状況もあるので、FPGA で問題をデバッグする必要があります。この手順では、デザインに特別なデバッグ ハードウェアを配置して、デザインを監視および制御できるようにします。デバッグ プロセスが終了したら、この特別なデバッグ ハードウェアを削除して、パフォーマンスを向上し、ロジックを削減できます。

Vivado® IP インテグレーターでデザインをデバッグする機能について、次のセクションで説明します。

デバッグに最適なフローは、ユーザーの都合と、デバッグするネットおよび信号のタイプによります。

次に例を示します。

  • MicroBlaze™ または Zynq®-7000 プロセッサのクロス トリガー機能を使用してハードウェア/ソフトウェア協調検証を実行する場合は、HDL インスタンシエーション フローを使用できます。
  • インターフェイス レベルの接続を検証する場合は、HDL インスタンシエーション フローを使用できます。
  • インプリメンテーション後のデザインをデバッグする場合は、ネットリスト挿入フローまたは HDL インスタンシエーション フローを使用できます。

ブロック デザインおよび最上位デザインのデバッグには、これら両方のフローを組み合わせて使用できます。

注記: AXI インターフェイスのデバッグの詳細は、Vivado Design Suite QuickTake ビデオ: Vivado IP インテグレーターを使用した AXI インターフェイスのデバッグを参照してください。