Vitis にエクスポートするためにサポートされるプロジェクト構造 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: IP インテグレーターを使用した IP サブシステムの設計 (UG994)

Document ID
UG994
Release Date
2022-04-20
Version
2022.1 日本語

ハードウェア定義 (XSA) では、次のユース ケースがサポートされます。

  • 1 つの BD を IP、階層 IP、またはユーザーが作成した階層を含む HDL ラッパーにインスタンシエート。
  • 1 つの BD を追加の非階層 IP を含む HDL ラッパーにインスタンシエート。アドレス マップは、BD に完全に含まれます。
  • 1 つの MicroBlaze MCS を HDL ラッパーにインスタンシエート。

次のユース ケースはエクスポートされますが、完全にはサポートされていません。

  • 複数の BD を RTL ラッパーにインスタンシエート (BD 間の接続は RTL ラッパーに含まれる)。
  • 複数の階層 IP を RTL ラッパーにインスタンシエート (階層 IP 間の接続は RTL ラッパーに含まれる)。
  • BD と階層 IP を混ぜて RTL ラッパーにインスタンシエート (BD と階層 IP 間の接続は RTL ラッパーに含まれる)。
  • パッケージ BD を RTL ラッパーにインスタンシエート。
  • 1 つの BD を 1 つまたは複数のパッケージ BD IP インスタンスを含む HDL ラッパーにインスタンシエート。