--hls 选项 - 2022.1 简体中文

Vitis 统一软件平台文档 应用加速开发 (UG1393)

Document ID
UG1393
Release Date
2022-05-25
Version
2022.1 简体中文

以下所述 --hls.XXX 选项用于为内核编译期间调用的 Vitis HLS 综合进程指定相应的选项。

--hls.clock

--hls.clock <arg>

指定频率 (Hz),Vitis HLS 应按此频率编译所列示的一个或多个内核。

其中,<arg> 指定方式为:<frequency_in_Hz>:<cu_name1>,<cu_name2>,..,<cu_nameN>

  • <frequency_in_Hz>:定义内核频率(以 Hz 为单位来指定)。
  • <cu_name1>,<cu_name2>,...:定义要按指定目标频率编译的内核或内核实例 (CU) 的列表。

例如:

v++ -c --hls.clock 300000000:mmult,mmadd --hls.clock 100000000:fifo_1
提示: 在配置文件中的 [hls] 节头下可使用如下格式指定该选项:
[hls]
clock=300000000:mmult,mmadd
clock=100000000:fifo_1

--hls.export_mode

--hls.export_mode <file_type>:<file_path>

指定 Vitis HLS 的 RTL 导出模式,以及导出的文件的路径和名称。作为 v++ 编译选项,唯一受支持的 <file_type> 为 XO。

例如:

v++ --hls.export_mode xo:./kernel.xo
提示: 在配置文件中的 [hls] 节头下可使用如下格式指定该选项:
[hls]
export_mode=xo:./kernel.xo

--hls.export_project

--hls.export_project <arg>

指定 Vitis HLS 工程设置脚本导出到的目录。

例如:

v++ --hls.export_project ./hls_export
提示: 在配置文件中的 [hls] 节头下可使用如下格式指定该选项:
[hls]
export_project=./hls_export

--hls.jobs

--hls.jobs <arg>

指定用于启动 HLS 运行的作业数。

该选项用于指定并行作业数量,Vitis HLS 使用这些作业来对 RTL 内核代码进行综合。增加作业数量即可允许工具生成更多并行进程并更快完成作业。

例如:

v++ --hls.jobs 4
提示: 在配置文件中的 [hls] 节头下可使用如下格式指定该选项:
[hls]
jobs=4

--hls.lsf

--hls.lsf <arg>

指定 bsub 用于向 LSF 提交作业以供 HLS 运行。

指定 bsub 命令行作为传递给 LSF 集群的字符串。该选项是使用 IBM Platform Load Sharing Facility (LSF) 进行 Vitis HLS 综合所必需的选项。

例如:

v++ --compile --hls.lsf '{bsub -R \"select[type=X86_64]\" -N -q medium}'
提示: 在配置文件中的 [hls] 节头下可使用如下格式指定该选项:
[hls]
lsf='{bsub...

--hls.post_tcl

--hls.post_tcl <arg>

指定包含 Tcl 命令的 Tcl 文件,供 vitis_hlscsynth_design 之后用作为源文件。

例如:

v++ --hls.post_tcl ./runPost.tcl
提示: 在配置文件中的 [hls] 节头下可使用如下格式指定该选项:
[hls]
post_tcl=./runPost.tcl

--hls.pre_tcl

--hls.pre_tcl <arg>

指定包含 Tcl 命令的 Tcl 文件,供 vitis_hlscsynth_design 之前用作为源文件。

例如:

v++ --hls.pre_tcl ./runPre.tcl
其中,runPre.tcl 包含以下命令,用于在 Vitis HLS 中配置 m_axi 接口:
config_interface -m_axi_auto_max_ports=1
config_interface -m_axi_max_bitwidth 512
提示: 在配置文件中的 [hls] 节头下也可使用如下格式来指定该选项:
[hls]
pre_tcl=./runPre.tcl