兼容的第三方工具 - 2022.1 简体中文

Vivado Design Suite 用户指南: 版本说明、安装和许可 (UG973)

Document ID
UG973
Release Date
2022-04-26
Version
2022.1 简体中文
表 1. 兼容的第三方工具
第三方工具 Red Hat 64 位 Linux SUSE Linux Windows 10 64 位 Ubuntu
仿真
Mentor Graphics ModelSim SE (2021.3) 支持 不适用 支持 不适用
Mentor Graphics Questa Advanced Simulator (2021.3) 支持 不适用 支持 不适用
Cadence Xcelium Parallel Simulator (21.09.002) 支持 不适用 不适用 不适用
Synopsys VCS (S-2021.09) 支持 不适用 不适用 不适用
MathWorks MATLAB® Simulink® (R2021a 和 R2021b) 支持 支持 支持 支持
Aldec Active-HDL (12) 1 不适用 不适用 支持 不适用
Aldec Riviera-PRO (2021.04SR1) 支持 不适用 支持 不适用
综合 2
Synopsys Synplify base/elite/apex (R-2021.09-SP1) 3 支持 支持 支持 不适用
Mentor Graphics Precision RTL/Plus (2019.1.1) 支持 支持 支持 不适用
等效检查
OneSpin 360 (2020.1.1) 支持 支持 不适用 不适用
  1. 针对 Aldec 仿真器的支持由 Aldec 提供。
  2. 大部分 Vivado IP 都只能通过 Vivado 综合来完成综合,因为 RTL 源代码可能包含加密文件。要在第三方综合流程中使用此 IP,可从 Vivado 工具导出适当格式的网表以便用于第三方综合工程。
  3. 请联系 Synopsys 以了解 Synplify Overlay 或 Service Pack 的可用性。
  4. 请参阅 Vitis Model Composer 用户指南(UG1483),以了解 Vitis Model Composer 操作系统支持详细信息。
注释: 上表中列出的版本是适合配合 Vivado 工具使用的最低版本。更低版本不予支持。
注释: 请联系仿真供应商以确认您是否需要许可证方能使用高级仿真功能。