第三方工具 | Red Hat 64 位 Linux | SUSE Linux | Windows 10 64 位 | Ubuntu |
---|---|---|---|---|
仿真 | ||||
Mentor Graphics ModelSim SE (2021.3) | 支持 | 不适用 | 支持 | 不适用 |
Mentor Graphics Questa Advanced Simulator (2021.3) | 支持 | 不适用 | 支持 | 不适用 |
Cadence Xcelium Parallel Simulator (21.09.002) | 支持 | 不适用 | 不适用 | 不适用 |
Synopsys VCS (S-2021.09) | 支持 | 不适用 | 不适用 | 不适用 |
MathWorks MATLAB® 和 Simulink® (R2021a 和 R2021b) | 支持 | 支持 | 支持 | 支持 |
Aldec Active-HDL (12) 1 | 不适用 | 不适用 | 支持 | 不适用 |
Aldec Riviera-PRO (2021.04SR1) | 支持 | 不适用 | 支持 | 不适用 |
综合 2 | ||||
Synopsys Synplify base/elite/apex (R-2021.09-SP1) 3 | 支持 | 支持 | 支持 | 不适用 |
Mentor Graphics Precision RTL/Plus (2019.1.1) | 支持 | 支持 | 支持 | 不适用 |
等效检查 | ||||
OneSpin 360 (2020.1.1) | 支持 | 支持 | 不适用 | 不适用 |
|
注释: 上表中列出的版本是适合配合 Vivado 工具使用的最低版本。更低版本不予支持。
注释: 请联系仿真供应商以确认您是否需要许可证方能使用高级仿真功能。