config_compile_simlib - 2022.2 English

Vivado Design Suite Tcl Command Reference Guide (UG835)

Document ID
UG835
Release Date
2022-10-19
Version
2022.2 English

Configure settings for compile_simlib

Syntax

config_compile_simlib [‑cfgopt <arg>] [‑cfgval <arg>] [‑simulator <arg>]
    [‑reset] [‑quiet] [‑verbose]

Usage

Name Description
[-cfgopt] Name of the configuration to set
[-cfgval] Get the value of specified configuration
[-simulator] Print the list of configurations for the specified simulator
[-reset] Initialize configurations to default value
[-quiet] Ignore command errors
[-verbose] Suspend message limits during command execution

Categories

Description

Configure third-party simulator options for use by the compile_simlib command.

The Vivado® Design Suite has a pre-defined configuration file for the compile_simlib command, with compilation options defined for supported third-party simulators, languages, and libraries. The config_compile_simlib command is provided to let you change the configuration options for specific combinations of simulator, language, and library.

Use the config_compile_simlib command without any arguments to return all current configuration options.

Arguments

-cfgopt <arg> - (Optional) Specify the configuration options for a specific third party simulator, language, and library combination. The -cfgopt argument is specified as a string made up of four parts in the form:

{<simulator>.<language>.<library>.<options> }

Where:
  • <simulator> - Specify the third-party simulator to configure options for. Refer to the compile_simlib command for currently supported versions of third-party simulators. Valid values are:
    • modelsim
    • questasim
    • xcelium
    • vcs_mx
    • riviera
    • active_hdl
  • <language> - Specify the language to set the simulation options for. Valid values are verilog or vhdl.
  • <library> - Specify the library to compile. Valid values for library are:
    • axi_bfm
    • ieee
    • simprim
    • std
    • unisim
    • vl
  • <options> - Configuration options specific to the simulator, language, and library specified. The following are the default compilation options available for different <simulator>.<language>.<library> combinations:
    • Active HDL: -v2k5 (verilog), +define+XIL_TIMING, -93 (vhdl), -nowarn ELAB1_0026 (vhdl)
    • Xcelium: -MESSAGES, -NOLOG, -DEFINE XIL_TIMING, -v93 (vhdl), -RELAX (vhdl)
    • ModelSim: -novopt, -quiet, +define+XIL_TIMING, -93 (vhdl), -source (simprim, unisim)
    • QuestaSim: -novopt, -quiet, +define+XIL_TIMING, -93 (vhdl), -source (simprim, unisim)
    • Riviera: -v2k5 (verilog), +define+XIL_TIMING, -93 (vhdl), -nowarn ELAB1_0026 (vhdl)
    • VCS MX: -sverilog (verilog), -nc, +v2k (simprim, unisim), +define+XIL_TIMING
    Note: Refer to the third-party simulator documentation for other compilation options that may be supported.

-simulator <arg> - (Optional) This option acts as a filter to return only the configuration options associated with the specified simulator. Refer to the -cfgopt option for a list of valid simulator values.

-reset - (Optional) Restore all settings to the default configuration options of the Vivado Design Suite.

-quiet - (Optional) Execute the command quietly, returning no messages from the command. The command also returns TCL_OK regardless of any errors encountered during execution.
Note: Any errors encountered on the command-line, while launching the command, will be returned. Only errors occurring inside the command will be trapped.
-verbose - (Optional) Temporarily override any message limits and return all messages from this command.
Note: Message limits can be defined with the set_msg_config command.

Examples

The following example configures the compilation options for the Modelsim simulator, Verilog language, and Unisim library:
config_compile_simlib -cfgopt {modelsim.verilog.unisim: -quiet}
The following example configures the compilation options for multiple simulation libraries:
config_compile_simlib -cfgopt {modelsim.verilog.synopsys: -quiet} \
-cfgopt {modelsim.verilog.simprim:-source +define+XIL_TIMING}

See Also