report_config_timing - 2022.2 English

Vivado Design Suite Tcl Command Reference Guide (UG835)

Document ID
UG835
Release Date
2022-10-19
Version
2022.2 English

Report settings affecting timing analysis

Syntax

report_config_timing [‑file <arg>] [‑append] [‑name <arg>] [‑return_string]
    [‑all] [‑no_header] [‑rpx <arg>] [‑quiet] [‑verbose]

Usage

Name Description
[-file] Output the results to file
[-append] Append the results to file, don't overwrite the results file
[-name] Output the results to GUI panel with this name
[-return_string] return report as string
[-all] report all configuration settings (by default, only the typically important settings are reported
[-no_header] do not generate a report header
[-rpx] Filename to output interactive results to.
[-quiet] Ignore command errors
[-verbose] Suspend message limits during command execution

Categories

Report, Timing

Description

Report the configuration of timing constraints of the current design.

By default the report is abbreviated, containing only a few key timing constraints. Use the -all argument to return all timing related configuration.

Arguments

-file <arg> - (Optional) Write the timing constraints configuration report into the specified file. The specified file will be overwritten if one already exists, unless -append is also specified.
Note: If the path is not specified as part of the file name, the file will be written into the current working directory, or the directory from which the tool was launched.
-append - (Optional) Append the output of the command to the specified file rather than overwriting it.
Note: The -append option can only be used with the -file option.

-name <arg> - (Optional) The name of the results to output to the GUI.

-return_string - (Optional) Directs the output to a Tcl string rather than to the standard output. The Tcl string can be captured by a variable definition and parsed or otherwise processed.
Note: This argument cannot be used with the -file option.

-all - (Optional) Reports the state of all timing related attributes and constraints in the design. By default, only a limited set of important timing attributes is reported.

-no_header - (Optional) Disables the report header. By default the report includes a header that lists:
  • Report Type - timer_configuration.
  • Design - The top module of the design.
  • Part - The device, package, and speed grade of the target part.
  • Version - The version of software used to create the report
  • Date - The date of the report.
  • Command - The command options used to create the report.
-rpx <arg> - (Optional) Specify the file name and path of an Xilinx® report file (RPX) to write. This is different from writing the report results to a file using the -file argument. The RPX file is an interactive report that contains all the report information and can be reloaded into memory in the Vivado® Design Suite using the open_report command. You should add a .rpx file extension to the specified file name, as the Vivado tool will not automatically assign a file extension.
Note: If the path is not specified as part of the file name, the file will be written into the current working directory, or the directory from which the tool was launched.
-quiet - (Optional) Execute the command quietly, returning no messages from the command. The command also returns TCL_OK regardless of any errors encountered during execution.
Note: Any errors encountered on the command-line, while launching the command, will be returned. Only errors occurring inside the command will be trapped.
-verbose - (Optional) Temporarily override any message limits and return all messages from this command.
Note: Message limits can be defined with the set_msg_config command.

Examples

The following example reports the current timing configuration, returns the information as a string, and sets that string into the specified Tcl variable:
set timeConfig [report_config_timing -all -no_header -return_string]
puts $timeConfig