Tcl Command Example for Running DRCs - 2022.2 English

Vivado Design Suite User Guide: I/O and Clock Planning (UG899)

Document ID
UG899
Release Date
2022-10-19
Version
2022.2 English
report_drc -ruledecks default -file C:/Data/DRC_Rpt1.txt