Exceptions Priority with -reset_path Example - 2022.2 English

Vivado Design Suite User Guide: Using Constraints (UG903)

Document ID
UG903
Release Date
2022-11-02
Version
2022.2 English
>	set_false_path -from [get_clocks clkA] -to [get_clocks clkB]
>	set_max_delay 1 -from [get_clocks clkA] -to [get_clocks clkB] -reset_path

The paths between clocks clkA and clkB are covered by the Max Delay with a path requirement of 1ns. The Max Delay is defined with the same arguments for -from/-to and specifies -reset_path, which overrides the False Path.

>	set_false_path -from [get_clocks clkA] -to [get_clocks clkB]
>	set_max_delay 1 -from [get_pins reg0/CLK] -to [get_pins reg1/D] -reset_path

The paths between reg0/CLK and reg1/D are covered by the False Path since that constraint has a higher precedence over the Max Delay. The Max Delay doesn't override the False Path despite the -reset_path as it is not defined with the same arguments for -from/-to.

If a string instead of an object is passed to the constraint, the Tcl interpreter uses the following sequence to determine which object matches the string:

  1. port
  2. pin
  3. cell
  4. net

The search is not exhaustive. As soon as objects of a certain type match the string pattern, they are returned, even though objects of another type down the list might also match the same pattern.