Non-Recommended Asynchronous Clock Groups Constraints - 2022.2 English

Vivado Design Suite User Guide: Using Constraints (UG903)

Document ID
UG903
Release Date
2022-11-02
Version
2022.2 English

The Timing Constraints wizard provides a table with constraints that are not enabled by default because they are not recommended for one of the following reasons:

  • At least one path is missing a synchronizer in either direction.
  • At least one path is covered by set_max_delay -datapath_only in either direction.

You can decide to activate any of these constraints when working on an early version of the design, and then revisit the CDC paths and their constraints later when finalizing your design.