Incremental Implementation Controls - 2022.2 English

Vivado Design Suite User Guide: Implementation (UG904)

Document ID
UG904
Release Date
2022-11-30
Version
2022.2 English

If no command arguments (other than -incremental) are specified, the tool reuses as much of the reference checkpoint information that it can. However, command arguments can be applied to the read_checkpoint -incremental command that give the user control over what is used and not reused.

-auto_incremental Option

This enables the automatic incremental flow described in Automatic Incremental.

-fix_objects Option

-fix_objects <cell objects>

The -fix_objects option can be used to lock a subset of cells. These cells are not touched by the incremental place and route tools. The -fix_objects option only works on cells that match and are identified for cell reuse. This is the full design space when -reuse_objects is not specified, or the associated cells when -reuse_objects is specified.

Examples

The following are examples of the -fix_objects usage:

  • To reuse all objects and fix only Block Memory placement:
    read_checkpoint -incremental routed.dcp -fix_objects [all_rams]
  • To reuse all objects and fix only DSP placement:
    read_checkpoint -incremental routed.dcp -fix_objects [all_dsps]

-force_incr Option

The-force_incr option can be used to force the incremental implementation flow irrespective of the incremental criteria checks. When not specified the incremental implementation flow may exit and continue in non-incremental or default flow.

This option can be used instead of modifying the incremental implementation configurations values to update the minimum thresholds for cell matching, net matching, and WNS in the automatic incremental flow.

Note: -force_incr is only used along with the -incremental switch.