Interactive Physical Optimization Command Reference - 2022.2 English

Vivado Design Suite User Guide: Implementation (UG904)

Document ID
UG904
Release Date
2022-11-30
Version
2022.2 English

The interactive physical optimization commands, along with corresponding options, are described below.

write_iphys_opt_tcl

This command writes a file containing the iphys_opt_design Tcl commands corresponding to the physical optimizations performed in the current design.

Syntax:

write_iphys_opt_tcl [-place] [-quiet] [-verbose] <output file>

The -place option directs the command to include placement information with the iphys_opt_tcl commands. Use this option when you intend to apply placement with netlist changes during iphys_opt_design command replay.

The write_iphys_opt_tcl command can be used any time after phys_opt_design has been run.

read_iphys_opt_tcl

This command reads a file containing the iphys_opt_design Tcl commands corresponding to the physical optimizations performed in a previous run.

Syntax:

read_iphys_opt_tcl [-fanout_opt] [-critical_cell_opt] [-replicate_cell]
                   [-placement_opt] [-rewire] [-forward_retime]
                   [-backward_retime] [-dsp_register_opt] 
                   [-bram_register_opt]
                   [-uram_register_opt] [-shift_register_opt]
                   [-shift_register_to_pipeline] [-auto_pipeline]
                   [-pipeline_to_shift_register] [-critical_pin_opt]
                   [-restruct_opt] [-equ_drivers_opt]
                   [-include_skipped_optimizations] [-create_bufg]
                   [-insert_negative_edge_ffs] [-hold_fix] 
                   [-slr_crossing_opt] [-quiet]
                   [-verbose] [<input>]
The read_iphys_opt_tcl command has many of the same options as phys_opt_design to limit the scope of replayed optimizations to only those specified. These options include:
  • -fanout_opt
  • -critical_cell_opt
  • -placement_opt
  • -rewire
  • -dsp_register_opt
  • -bram_register_opt
  • -uram_register_opt
  • -shift_register_opt
  • -insert_negative_edge_ffs
  • -slr_crossing_opt
  • -critical_pin_opt
  • -replicate_cell
  • -forward_retime
  • -backward_retime
  • -shift_register_to_pipeline
  • -auto_pipeline
  • -pipeline_to_shift_register
  • -restruct_opt-equ_drivers_opt
  • -create_bufg

Apply the skipped optimizations that are defined in the input Tcl script, as well as the standard optimizations. These are optimizations identified by phys_opt_design that are skipped because suitable locations for optimized logic cannot be found. When this option is specified, the iphys_opt_design command will attempt to use the included skipped optimizations in the pre-placement netlist.

iphys_opt_design

The iphys_opt_design command is a low-level Tcl command that performs a physical optimization. All default phys_opt_design optimizations can be performed using iphys_opt_design. Although it is possible to modify iphys_opt_design commands, and even to create them from scratch, you would typically write them to a script and replay them in a separate run.

Syntax

iphys_opt_design [-fanout_opt] [-critical_cell_opt] [-replicate_cell]
                  [-placement_opt] [-rewire] [-forward_retime]
                  [-backward_retime] 
                  [-net <arg>] -cluster <args> -place_cell <args> 
                  [-dsp_register_opt] [-bram_register_opt]
                  [-uram_register_opt] [-shift_register_opt] [-cell <arg>]
                  [-packing] [-unpacking] [-port <arg>] 
                  [-critical_pin_opt] [-restruct_opt]
                  [-equ_drivers_opt] [-skipped_optimization]
                  [-create_bufg] [-insert_negative_edge_ffs] [-hold_fix]
                  [-slr_crossing_opt] [-shift_register_to_pipeline]
                  [-auto_pipeline] [-pipeline_to_shift_register] 
                  [-quiet] [-verbose]