Automatic QoR Suggestions and ML Strategies - 2022.2 English

Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)

Document ID
UG906
Release Date
2022-10-19
Version
2022.2 English

If ML strategies exist before the run is started, the RQS file can be set using the RQS_FILES property. At the end of the flow, strategy suggestions are added to the new RQS file.

If ML strategies do not exist, they are automatically generated for the user in the <run_name>/MLStrategy directory during the suggestion generation process.