Generating the QoR Assessment Report - 2022.2 English

Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)

Document ID
UG906
Release Date
2022-10-19
Version
2022.2 English

The report_qor_assessment command can be accessed on an open design in the Vivado IDE by clicking Reports > Report QoR Assessment.

Figure 1. Report QoR Assessment Dialog Box

The equivalent command at the Tcl console is as follows:

report_qor_assessment -name report_qor_assessment

To change the timing path limit from the default of 100, change the Number of paths for suggestion analysis shown in the dialog box. The equivalent Tcl command uses the -max_paths <N> switch, where N is an integer:

report_qor_assessment -max_paths <N>
The Report passing metrics option expands the details table to show all the metrics that were checked but passed. The equivalent Tcl command uses the -full_assessment_details switch:
report_qor_assessment -full_assessment_details

The option to generate supporting CSV files helps improve the ability to navigate a high volume of data when compared to the text report. To generate supporting CSV files, check the box and specify a directory. The CSV files are used to do the following:

  • Show the timing paths contributing to the RQA score, and if suggestions are available to help address them
  • Show cells and nets containing DONT_TOUCH properties that can prevent optimization

The equivalent Tcl command uses the -csv_output_dir switch:

report_qor_assessment -csv_output_dir <directory>