Non-Project Application of Strategy Suggestions - 2022.2 English

Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)

Document ID
UG906
Release Date
2022-10-19
Version
2022.2 English

In non-project mode, an example Tcl script is provided in the -strategy_dir directory. This script shows how the RQS file must be read, as well as the directives for the implementation commands set to RQS. These scripts are intended to be an example on a design loaded into memory in the pre-opt_design stage. They do not contain any reporting or writing of checkpoints.