Strategy Suggestions - 2022.2 English

Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)

Document ID
UG906
Release Date
2022-10-19
Version
2022.2 English

Typical timing closure strategies involve running many implementation strategies and picking the best one to take to the lab. ML strategies are an alternative to this, requiring you to run only three strategies to achieve a similar QoR benefit. They use machine learning to examine features from a post-route design to predict the performance of different strategies on the same design. The best three strategies are captured in RQS files generated by report_qor_suggestions (and write_qor_suggestions) and can be applied moving forward. Considerably less server power is required as a result.

When the directive is set to RQS on implementation commands, the command references the RQS file for the directive and other tool command options. The flow is shown in the following figure:

Figure 1. Strategy Suggestion Flow

There are four key points to this flow:

  1. The report_qor_suggestions command must be run on a fully routed design that is generated using either Default or Explore directives. For complete details about the requirements, see ML Strategy Availability.
  2. The write_qor_suggestions -strategy_dir <dir> command generates the required RQS files in the directory specified. By default, three strategies are generated. For each strategy generated, a single RQS file contains all the suggestion objects as well as the strategy suggestions object. The RQS file specified using write_qor_suggestions -file <fn>.rqs can be discarded as the information is replicated in each strategy RQS file.
    Note: To generate more strategies, increase the number using the following command:
    report_qor_suggestions -max_strategies <n>
  3. The generated RQS file must be read in to the new implementation run.
  4. The directive RQS must be set and the script must contain a call to opt_design, place_design, phys_opt_design and route_design.