Supported Suggestions - 2022.2 English

Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)

Document ID
UG906
Release Date
2022-10-19
Version
2022.2 English

There are over 100 QoR suggestions that Vivado can generate, with over 80 being able to automatically execute without user edits required to constraints or RTL. To see a list of all the suggestions that can be generated, the get_qor_checks command can be run. The properties attached to the returned objects can be inspected. The objects returned by this command are not generated suggestions and the objects themselves can not be written.

The -family switch can be used to show only suggestions that are applicable to a certain family. The following is an example to see the suggestions available for the family of a currently opened design:
get_qor_checks -family [get_property FAMILY [get_parts [get_property PART [current_design]]]]
The -filter switch allows users to filter based on object properties. Useful properties to filter on are:
  • CATEGORY
  • AUTO
  • INCR_FRIENDLY
The output of this command should be processed using Tcl. An example of how to view the IDs and description of all the AUTO checks is as follows:
foreach sugg [lsort -dict [get_qor_checks -filter {AUTO==1}]] {
  set ID [get_property ID $sugg]
  set DESCRIPTION [get_property DESCRIPTION $sugg]
  puts "[format %-16s $ID]: $DESCRIPTION "
}