Timing Field - 2022.2 English

Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)

Document ID
UG906
Release Date
2022-10-19
Version
2022.2 English

The Timing field allows you to report timing and physical characteristics of timing paths.

Equivalent Tcl option: -timing

You have the option to generate reports for all paths or specific timing paths. If you select the All Paths option you can specify the path delay type: max for setup, min for hold or min_max for setup and hold.

Equivalent Tcl option: -setup/-hold

You can also specify the maximum number of paths per clock group (default is 10).

Equivalent Tcl option: -max_paths <arg>

When you select the Specific Paths option, analysis is performed on the specified path objects. Click the Browse button (on the right) to open a search dialog box to aid in finding path objects. For more information about get_timing_paths, refer to this link in the Vivado Design Suite Tcl Command Reference Guide (UG835).

Equivalent Tcl option: -of_timing_paths <args>

Select the Extend Analysis option to perform an extended analysis for each path of interest by also reporting the worst path to the startpoint and the worst path from the endpoint.

Equivalent Tcl option: -extend

Note: When running the Extend Analysis option (Tcl option -extend) for hold path analysis, the tool generates a report showing the setup and hold characteristics of the paths with the same start and endpoints to show if hold fixing is impacting setup timing.

Include logic-level distribution information by selecting that option and specifying the number of paths to be used. If you are also analyzing all paths, the number of paths selected overrides the maximum number of paths per clock group. If you are analyzing specific paths, logic-level distribution information is limited to the specified paths.

Equivalent Tcl option: -logic_level_distribution -logic_level_dist_paths <arg>