Executing SVF Files - 2022.2 English

Vivado Design Suite User Guide: Programming and Debugging (UG908)

Document ID
UG908
Release Date
2022-10-19
Version
2022.2 English

Once the SVF file is created, you can optionally execute the SVF file through Vivado IDE. Vivado IDE can execute SVF files generated through the SVF generation feature and is intended as the validation test tool. The execute_hw_svf command is not intended as a general purpose SVF execution command; take care to only use SVF files created through Vivado IDE.

To run an svf command you run the command on an open live target as follows:

execute_hw_svf my_file.svf
INFO: [Labtoolstcl 44-548] Creating JTAG TCL script from SVF file
INFO: [Labtoolstcl 44-549] Re-opening target in JTAG mode
INFO: [Labtoolstcl 44-551] Sourcing JTAG TCL script: my_file.tcl
Pass: SVF Execution completed with no errors
INFO: [Labtoolstcl 44-550] Restoring target to original mode
INFO: [Labtoolstcl 44-570] Execute SVF completed successfully

In this example, the file my_file.svf is executed. As part of the execution flow, the input SVF file is converted via HW_JTAG Tcl operations into a temporary file. After this Tcl code is created, the file is sourced to execute the converted SVF instructions. To see the JTAG_TCL operations, you can run the execute_hw_svf command using the -verbose option. Once the command completes you will see either the error at the instruction where the execution failed or a "Pass" message at the end of the message log.